Linux Audio

Check our new training course

Embedded Linux Audio

Check our new training course
with Creative Commons CC-BY-SA
lecture materials

Bootlin logo

Elixir Cross Referencer

Loading...
   1
   2
   3
   4
   5
   6
   7
   8
   9
  10
  11
  12
  13
  14
  15
  16
  17
  18
  19
  20
  21
  22
  23
  24
  25
  26
  27
  28
  29
  30
  31
  32
  33
  34
  35
  36
  37
  38
  39
  40
  41
  42
  43
  44
  45
  46
  47
  48
  49
  50
  51
  52
  53
  54
  55
  56
  57
  58
  59
  60
  61
  62
  63
  64
  65
  66
  67
  68
  69
  70
  71
  72
  73
  74
  75
  76
  77
  78
  79
  80
  81
  82
  83
  84
  85
  86
  87
  88
  89
  90
  91
  92
  93
  94
  95
  96
  97
  98
  99
 100
 101
 102
 103
 104
 105
 106
 107
 108
 109
 110
 111
 112
 113
 114
 115
 116
 117
 118
 119
 120
 121
 122
 123
 124
 125
 126
 127
 128
 129
 130
 131
 132
 133
 134
 135
 136
 137
 138
 139
 140
 141
 142
 143
 144
 145
 146
 147
 148
 149
 150
 151
 152
 153
 154
 155
 156
 157
 158
 159
 160
 161
 162
 163
 164
 165
 166
 167
 168
 169
 170
 171
 172
 173
 174
 175
 176
 177
 178
 179
 180
 181
 182
 183
 184
 185
 186
 187
 188
 189
 190
 191
 192
 193
 194
 195
 196
 197
 198
 199
 200
 201
 202
 203
 204
 205
 206
 207
 208
 209
 210
 211
 212
 213
 214
 215
 216
 217
 218
 219
 220
 221
 222
 223
 224
 225
 226
 227
 228
 229
 230
 231
 232
 233
 234
 235
 236
 237
 238
 239
 240
 241
 242
 243
 244
 245
 246
 247
 248
 249
 250
 251
 252
 253
 254
 255
 256
 257
 258
 259
 260
 261
 262
 263
 264
 265
 266
 267
 268
 269
 270
 271
 272
 273
 274
 275
 276
 277
 278
 279
 280
 281
 282
 283
 284
 285
 286
 287
 288
 289
 290
 291
 292
 293
 294
 295
 296
 297
 298
 299
 300
 301
 302
 303
 304
 305
 306
 307
 308
 309
 310
 311
 312
 313
 314
 315
 316
 317
 318
 319
 320
 321
 322
 323
 324
 325
 326
 327
 328
 329
 330
 331
 332
 333
 334
 335
 336
 337
 338
 339
 340
 341
 342
 343
 344
 345
 346
 347
 348
 349
 350
 351
 352
 353
 354
 355
 356
 357
 358
 359
 360
 361
 362
 363
 364
 365
 366
 367
 368
 369
 370
 371
 372
 373
 374
 375
 376
 377
 378
 379
 380
 381
 382
 383
 384
 385
 386
 387
 388
 389
 390
 391
 392
 393
 394
 395
 396
 397
 398
 399
 400
 401
 402
 403
 404
 405
 406
 407
 408
 409
 410
 411
 412
 413
 414
 415
 416
 417
 418
 419
 420
 421
 422
 423
 424
 425
 426
 427
 428
 429
 430
 431
 432
 433
 434
 435
 436
 437
 438
 439
 440
 441
 442
 443
 444
 445
 446
 447
 448
 449
 450
 451
 452
 453
 454
 455
 456
 457
 458
 459
 460
 461
 462
 463
 464
 465
 466
 467
 468
 469
 470
 471
 472
 473
 474
 475
 476
 477
 478
 479
 480
 481
 482
 483
 484
 485
 486
 487
 488
 489
 490
 491
 492
 493
 494
 495
 496
 497
 498
 499
 500
 501
 502
 503
 504
 505
 506
 507
 508
 509
 510
 511
 512
 513
 514
 515
 516
 517
 518
 519
 520
 521
 522
 523
 524
 525
 526
 527
 528
 529
 530
 531
 532
 533
 534
 535
 536
 537
 538
 539
 540
 541
 542
 543
 544
 545
 546
 547
 548
 549
 550
 551
 552
 553
 554
 555
 556
 557
 558
 559
 560
 561
 562
 563
 564
 565
 566
 567
 568
 569
 570
 571
 572
 573
 574
 575
 576
 577
 578
 579
 580
 581
 582
 583
 584
 585
 586
 587
 588
 589
 590
 591
 592
 593
 594
 595
 596
 597
 598
 599
 600
 601
 602
 603
 604
 605
 606
 607
 608
 609
 610
 611
 612
 613
 614
 615
 616
 617
 618
 619
 620
 621
 622
 623
 624
 625
 626
 627
 628
 629
 630
 631
 632
 633
 634
 635
 636
 637
 638
 639
 640
 641
 642
 643
 644
 645
 646
 647
 648
 649
 650
 651
 652
 653
 654
 655
 656
 657
 658
 659
 660
 661
 662
 663
 664
 665
 666
 667
 668
 669
 670
 671
 672
 673
 674
 675
 676
 677
 678
 679
 680
 681
 682
 683
 684
 685
 686
 687
 688
 689
 690
 691
 692
 693
 694
 695
 696
 697
 698
 699
 700
 701
 702
 703
 704
 705
 706
 707
 708
 709
 710
 711
 712
 713
 714
 715
 716
 717
 718
 719
 720
 721
 722
 723
 724
 725
 726
 727
 728
 729
 730
 731
 732
 733
 734
 735
 736
 737
 738
 739
 740
 741
 742
 743
 744
 745
 746
 747
 748
 749
 750
 751
 752
 753
 754
 755
 756
 757
 758
 759
 760
 761
 762
 763
 764
 765
 766
 767
 768
 769
 770
 771
 772
 773
 774
 775
 776
 777
 778
 779
 780
 781
 782
 783
 784
 785
 786
 787
 788
 789
 790
 791
 792
 793
 794
 795
 796
 797
 798
 799
 800
 801
 802
 803
 804
 805
 806
 807
 808
 809
 810
 811
 812
 813
 814
 815
 816
 817
 818
 819
 820
 821
 822
 823
 824
 825
 826
 827
 828
 829
 830
 831
 832
 833
 834
 835
 836
 837
 838
 839
 840
 841
 842
 843
 844
 845
 846
 847
 848
 849
 850
 851
 852
 853
 854
 855
 856
 857
 858
 859
 860
 861
 862
 863
 864
 865
 866
 867
 868
 869
 870
 871
 872
 873
 874
 875
 876
 877
 878
 879
 880
 881
 882
 883
 884
 885
 886
 887
 888
 889
 890
 891
 892
 893
 894
 895
 896
 897
 898
 899
 900
 901
 902
 903
 904
 905
 906
 907
 908
 909
 910
 911
 912
 913
 914
 915
 916
 917
 918
 919
 920
 921
 922
 923
 924
 925
 926
 927
 928
 929
 930
 931
 932
 933
 934
 935
 936
 937
 938
 939
 940
 941
 942
 943
 944
 945
 946
 947
 948
 949
 950
 951
 952
 953
 954
 955
 956
 957
 958
 959
 960
 961
 962
 963
 964
 965
 966
 967
 968
 969
 970
 971
 972
 973
 974
 975
 976
 977
 978
 979
 980
 981
 982
 983
 984
 985
 986
 987
 988
 989
 990
 991
 992
 993
 994
 995
 996
 997
 998
 999
1000
1001
1002
1003
1004
1005
1006
1007
1008
1009
1010
1011
1012
1013
1014
1015
1016
1017
1018
1019
1020
1021
1022
1023
1024
1025
1026
1027
1028
1029
1030
1031
1032
1033
1034
1035
1036
1037
1038
1039
1040
1041
1042
1043
1044
1045
1046
1047
1048
1049
1050
1051
1052
1053
1054
1055
1056
1057
1058
1059
1060
1061
1062
1063
1064
1065
1066
1067
1068
1069
1070
1071
1072
1073
1074
1075
1076
1077
1078
1079
1080
1081
1082
1083
1084
1085
1086
1087
1088
1089
1090
1091
1092
1093
1094
1095
1096
1097
1098
1099
1100
1101
1102
1103
1104
1105
1106
1107
1108
1109
1110
1111
1112
1113
1114
1115
1116
1117
1118
1119
1120
1121
1122
1123
1124
1125
1126
1127
1128
1129
1130
1131
1132
1133
1134
1135
1136
1137
1138
1139
1140
1141
1142
1143
1144
1145
1146
1147
1148
1149
1150
1151
1152
1153
1154
1155
1156
1157
1158
1159
1160
1161
1162
1163
1164
1165
1166
1167
1168
1169
1170
1171
1172
1173
1174
1175
1176
1177
1178
1179
1180
1181
1182
1183
1184
1185
1186
1187
1188
1189
1190
1191
1192
1193
1194
1195
1196
1197
1198
1199
1200
1201
1202
1203
1204
1205
1206
1207
1208
1209
1210
1211
1212
1213
1214
1215
1216
1217
1218
1219
1220
1221
1222
1223
1224
1225
1226
1227
1228
1229
1230
1231
1232
1233
1234
1235
1236
1237
1238
1239
1240
1241
1242
1243
1244
1245
1246
1247
1248
1249
1250
1251
1252
1253
1254
1255
1256
1257
1258
1259
1260
1261
1262
1263
1264
1265
1266
1267
1268
1269
1270
1271
1272
1273
1274
1275
1276
1277
1278
1279
1280
1281
1282
1283
1284
1285
1286
1287
1288
1289
1290
1291
1292
1293
1294
1295
1296
1297
1298
1299
1300
1301
1302
1303
1304
1305
1306
1307
1308
1309
1310
1311
1312
1313
1314
1315
1316
1317
1318
1319
1320
1321
1322
1323
1324
1325
1326
1327
1328
1329
1330
1331
1332
1333
1334
1335
1336
1337
1338
1339
1340
1341
1342
1343
1344
1345
1346
1347
1348
1349
1350
1351
1352
1353
1354
1355
1356
1357
1358
1359
1360
1361
1362
1363
1364
1365
1366
1367
1368
1369
1370
1371
1372
1373
1374
1375
1376
1377
1378
1379
1380
1381
1382
1383
1384
1385
1386
1387
1388
1389
1390
1391
1392
1393
1394
1395
1396
1397
1398
1399
1400
1401
1402
1403
1404
1405
1406
1407
1408
1409
1410
1411
1412
1413
1414
1415
1416
1417
1418
1419
1420
1421
1422
1423
1424
1425
1426
1427
1428
1429
1430
1431
1432
1433
1434
1435
1436
1437
1438
1439
1440
1441
1442
1443
1444
1445
1446
1447
1448
1449
1450
1451
1452
1453
1454
1455
1456
1457
1458
1459
1460
1461
1462
1463
1464
1465
1466
1467
1468
1469
1470
1471
1472
1473
1474
1475
1476
1477
1478
1479
1480
1481
1482
1483
1484
1485
1486
1487
1488
1489
1490
1491
1492
1493
1494
1495
1496
1497
1498
1499
1500
1501
1502
1503
1504
1505
1506
1507
1508
1509
1510
1511
1512
1513
1514
1515
1516
1517
1518
1519
1520
1521
1522
1523
1524
1525
1526
1527
1528
1529
1530
1531
1532
1533
1534
1535
1536
1537
1538
1539
1540
1541
1542
1543
1544
1545
1546
1547
1548
1549
1550
1551
1552
1553
1554
1555
1556
1557
1558
1559
1560
1561
1562
1563
1564
1565
1566
1567
1568
1569
1570
1571
1572
1573
1574
1575
1576
1577
1578
1579
1580
1581
1582
1583
1584
1585
1586
1587
1588
1589
1590
1591
1592
1593
1594
1595
1596
1597
1598
1599
1600
1601
1602
1603
1604
1605
1606
1607
1608
1609
1610
1611
1612
1613
1614
1615
1616
1617
1618
1619
1620
1621
1622
1623
1624
1625
1626
1627
1628
1629
1630
1631
1632
1633
1634
1635
1636
1637
1638
1639
1640
1641
1642
1643
1644
1645
1646
1647
1648
1649
1650
1651
1652
1653
1654
1655
1656
1657
1658
1659
1660
1661
1662
1663
1664
1665
1666
1667
1668
1669
1670
1671
1672
1673
1674
1675
1676
1677
1678
1679
1680
1681
1682
1683
1684
1685
1686
1687
1688
1689
1690
1691
1692
1693
1694
1695
1696
1697
1698
1699
1700
1701
1702
1703
1704
1705
1706
1707
1708
1709
1710
1711
1712
1713
1714
1715
1716
1717
1718
1719
1720
1721
1722
1723
1724
1725
1726
1727
1728
1729
1730
1731
1732
1733
1734
1735
1736
1737
1738
1739
1740
1741
1742
1743
1744
1745
1746
1747
1748
1749
1750
1751
1752
1753
1754
1755
1756
1757
1758
1759
1760
1761
1762
1763
1764
1765
1766
1767
1768
1769
1770
1771
1772
1773
1774
1775
1776
1777
1778
1779
1780
1781
1782
1783
1784
1785
1786
1787
1788
1789
1790
1791
1792
1793
1794
1795
1796
1797
1798
1799
1800
1801
1802
1803
1804
1805
1806
1807
1808
1809
1810
1811
1812
1813
1814
1815
1816
1817
1818
1819
1820
1821
1822
1823
1824
1825
1826
1827
1828
1829
1830
1831
1832
1833
1834
1835
1836
1837
1838
1839
1840
1841
1842
1843
1844
1845
1846
1847
1848
1849
1850
1851
1852
1853
1854
1855
1856
1857
1858
1859
1860
1861
1862
1863
1864
1865
1866
1867
1868
1869
1870
1871
1872
1873
1874
1875
1876
1877
1878
1879
1880
1881
1882
1883
1884
1885
1886
1887
1888
1889
1890
1891
1892
1893
1894
1895
1896
1897
1898
1899
1900
1901
1902
1903
1904
1905
1906
1907
1908
1909
1910
1911
1912
1913
1914
1915
1916
1917
1918
1919
1920
1921
1922
1923
1924
1925
1926
1927
1928
1929
1930
1931
1932
1933
1934
1935
1936
1937
1938
1939
1940
1941
1942
1943
1944
1945
1946
1947
1948
1949
1950
1951
1952
1953
1954
1955
1956
1957
1958
1959
1960
1961
1962
1963
1964
1965
1966
1967
1968
1969
1970
1971
1972
1973
1974
1975
1976
1977
1978
1979
1980
1981
1982
1983
1984
1985
1986
1987
1988
1989
1990
1991
1992
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
2019
2020
2021
2022
2023
2024
2025
2026
2027
2028
2029
2030
2031
2032
2033
2034
2035
2036
2037
2038
2039
2040
2041
2042
2043
2044
2045
2046
2047
2048
2049
2050
2051
2052
2053
2054
2055
2056
2057
2058
2059
2060
2061
2062
2063
2064
2065
2066
2067
2068
2069
2070
2071
2072
2073
2074
2075
2076
2077
2078
2079
2080
2081
2082
2083
2084
2085
2086
2087
2088
2089
2090
2091
2092
2093
2094
2095
2096
2097
2098
2099
2100
2101
2102
2103
2104
2105
2106
2107
2108
2109
2110
2111
2112
2113
2114
2115
2116
2117
2118
2119
2120
2121
2122
2123
2124
2125
2126
2127
2128
2129
2130
2131
2132
2133
2134
2135
2136
2137
2138
2139
2140
2141
2142
2143
2144
2145
2146
2147
2148
2149
2150
2151
2152
2153
2154
2155
2156
2157
2158
2159
2160
2161
2162
2163
2164
2165
2166
2167
2168
2169
2170
2171
2172
2173
2174
2175
2176
2177
2178
2179
2180
2181
2182
2183
2184
2185
2186
2187
2188
2189
2190
2191
2192
2193
2194
2195
2196
2197
2198
2199
2200
2201
2202
2203
2204
2205
2206
2207
2208
2209
2210
2211
2212
2213
2214
2215
2216
2217
2218
2219
2220
2221
2222
2223
2224
2225
2226
2227
2228
2229
2230
2231
2232
2233
2234
2235
2236
2237
2238
2239
2240
2241
2242
2243
2244
2245
2246
2247
2248
2249
2250
2251
2252
2253
2254
2255
2256
2257
2258
2259
2260
2261
2262
2263
2264
2265
2266
2267
2268
2269
2270
2271
2272
2273
2274
2275
2276
2277
2278
2279
2280
2281
2282
2283
2284
2285
2286
2287
2288
2289
2290
2291
2292
2293
2294
2295
2296
2297
2298
2299
2300
2301
2302
2303
2304
2305
2306
2307
2308
2309
2310
2311
2312
2313
2314
2315
2316
2317
2318
2319
2320
2321
2322
2323
2324
2325
2326
2327
2328
2329
2330
2331
2332
2333
2334
2335
2336
2337
2338
2339
2340
2341
2342
2343
2344
2345
2346
2347
2348
2349
2350
2351
2352
2353
2354
2355
2356
2357
2358
2359
2360
2361
2362
2363
2364
2365
2366
2367
2368
2369
2370
2371
2372
2373
2374
2375
2376
2377
2378
2379
2380
2381
2382
2383
2384
2385
2386
2387
2388
2389
2390
2391
2392
2393
2394
2395
2396
2397
2398
2399
2400
2401
2402
2403
2404
2405
2406
2407
2408
2409
2410
2411
2412
2413
2414
2415
2416
2417
2418
2419
2420
2421
2422
2423
2424
2425
2426
2427
2428
2429
2430
2431
2432
2433
2434
2435
2436
2437
2438
2439
2440
2441
2442
2443
2444
2445
2446
2447
2448
2449
2450
2451
2452
2453
2454
2455
2456
/*

  Broadcom B43 wireless driver
  IEEE 802.11a/g LP-PHY and radio device data tables

  Copyright (c) 2009 Michael Buesch <m@bues.ch>
  Copyright (c) 2009 Gábor Stefanik <netrolller.3d@gmail.com>

  This program is free software; you can redistribute it and/or modify
  it under the terms of the GNU General Public License as published by
  the Free Software Foundation; either version 2 of the License, or
  (at your option) any later version.

  This program is distributed in the hope that it will be useful,
  but WITHOUT ANY WARRANTY; without even the implied warranty of
  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
  GNU General Public License for more details.

  You should have received a copy of the GNU General Public License
  along with this program; see the file COPYING.  If not, write to
  the Free Software Foundation, Inc., 51 Franklin Steet, Fifth Floor,
  Boston, MA 02110-1301, USA.

*/

#include "b43.h"
#include "tables_lpphy.h"
#include "phy_common.h"
#include "phy_lp.h"


/* Entry of the 2062/2063 radio init table */
struct b206x_init_tab_entry {
	u16 offset;
	u16 value_a;
	u16 value_g;
	u8 flags;
};
#define B206X_FLAG_A	0x01 /* Flag: Init in A mode */
#define B206X_FLAG_G	0x02 /* Flag: Init in G mode */

static const struct b206x_init_tab_entry b2062_init_tab[] = {
	/* { .offset = B2062_N_COMM1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = 0x0001, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_COMM2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_COMM3, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2062_N_COMM4, .value_a = 0x0001, .value_g = 0x0000, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2062_N_COMM5, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_COMM6, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_COMM7, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_COMM8, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_COMM9, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_COMM10, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_COMM11, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_COMM12, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_COMM13, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_COMM14, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_COMM15, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_PDN_CTL0, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2062_N_PDN_CTL1, .value_a = 0x0000, .value_g = 0x00CA, .flags = B206X_FLAG_G, },
	/* { .offset = B2062_N_PDN_CTL2, .value_a = 0x0018, .value_g = 0x0018, .flags = 0, }, */
	{ .offset = B2062_N_PDN_CTL3, .value_a = 0x0000, .value_g = 0x0000, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2062_N_PDN_CTL4, .value_a = 0x0015, .value_g = 0x002A, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2062_N_GEN_CTL0, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_IQ_CALIB, .value_a = 0x0001, .value_g = 0x0001, .flags = 0, }, */
	{ .offset = B2062_N_LGENC, .value_a = 0x00DB, .value_g = 0x00FF, .flags = B206X_FLAG_A, },
	/* { .offset = B2062_N_LGENA_LPF, .value_a = 0x0001, .value_g = 0x0001, .flags = 0, }, */
	/* { .offset = B2062_N_LGENA_BIAS0, .value_a = 0x0041, .value_g = 0x0041, .flags = 0, }, */
	/* { .offset = B2062_N_LGNEA_BIAS1, .value_a = 0x0002, .value_g = 0x0002, .flags = 0, }, */
	/* { .offset = B2062_N_LGENA_CTL0, .value_a = 0x0032, .value_g = 0x0032, .flags = 0, }, */
	/* { .offset = B2062_N_LGENA_CTL1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_LGENA_CTL2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2062_N_LGENA_TUNE0, .value_a = 0x00DD, .value_g = 0x0000, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2062_N_LGENA_TUNE1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2062_N_LGENA_TUNE2, .value_a = 0x00DD, .value_g = 0x0000, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2062_N_LGENA_TUNE3, .value_a = 0x0077, .value_g = 0x00B5, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2062_N_LGENA_CTL3, .value_a = 0x0000, .value_g = 0x00FF, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2062_N_LGENA_CTL4, .value_a = 0x001F, .value_g = 0x001F, .flags = 0, }, */
	/* { .offset = B2062_N_LGENA_CTL5, .value_a = 0x0032, .value_g = 0x0032, .flags = 0, }, */
	/* { .offset = B2062_N_LGENA_CTL6, .value_a = 0x0032, .value_g = 0x0032, .flags = 0, }, */
	{ .offset = B2062_N_LGENA_CTL7, .value_a = 0x0033, .value_g = 0x0033, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2062_N_RXA_CTL0, .value_a = 0x0009, .value_g = 0x0009, .flags = 0, }, */
	{ .offset = B2062_N_RXA_CTL1, .value_a = 0x0000, .value_g = 0x0000, .flags = B206X_FLAG_G, },
	/* { .offset = B2062_N_RXA_CTL2, .value_a = 0x0018, .value_g = 0x0018, .flags = 0, }, */
	/* { .offset = B2062_N_RXA_CTL3, .value_a = 0x0027, .value_g = 0x0027, .flags = 0, }, */
	/* { .offset = B2062_N_RXA_CTL4, .value_a = 0x0028, .value_g = 0x0028, .flags = 0, }, */
	/* { .offset = B2062_N_RXA_CTL5, .value_a = 0x0007, .value_g = 0x0007, .flags = 0, }, */
	/* { .offset = B2062_N_RXA_CTL6, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_RXA_CTL7, .value_a = 0x0008, .value_g = 0x0008, .flags = 0, }, */
	{ .offset = B2062_N_RXBB_CTL0, .value_a = 0x0082, .value_g = 0x0080, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2062_N_RXBB_CTL1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_RXBB_CTL2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_RXBB_GAIN0, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2062_N_RXBB_GAIN1, .value_a = 0x0004, .value_g = 0x0004, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2062_N_RXBB_GAIN2, .value_a = 0x0000, .value_g = 0x0000, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2062_N_RXBB_GAIN3, .value_a = 0x0011, .value_g = 0x0011, .flags = 0, }, */
	/* { .offset = B2062_N_RXBB_RSSI0, .value_a = 0x0043, .value_g = 0x0043, .flags = 0, }, */
	/* { .offset = B2062_N_RXBB_RSSI1, .value_a = 0x0033, .value_g = 0x0033, .flags = 0, }, */
	/* { .offset = B2062_N_RXBB_CALIB0, .value_a = 0x0010, .value_g = 0x0010, .flags = 0, }, */
	/* { .offset = B2062_N_RXBB_CALIB1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_RXBB_CALIB2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_RXBB_BIAS0, .value_a = 0x0006, .value_g = 0x0006, .flags = 0, }, */
	/* { .offset = B2062_N_RXBB_BIAS1, .value_a = 0x002A, .value_g = 0x002A, .flags = 0, }, */
	/* { .offset = B2062_N_RXBB_BIAS2, .value_a = 0x00AA, .value_g = 0x00AA, .flags = 0, }, */
	/* { .offset = B2062_N_RXBB_BIAS3, .value_a = 0x0021, .value_g = 0x0021, .flags = 0, }, */
	/* { .offset = B2062_N_RXBB_BIAS4, .value_a = 0x00AA, .value_g = 0x00AA, .flags = 0, }, */
	/* { .offset = B2062_N_RXBB_BIAS5, .value_a = 0x0022, .value_g = 0x0022, .flags = 0, }, */
	/* { .offset = B2062_N_RXBB_RSSI2, .value_a = 0x0001, .value_g = 0x0001, .flags = 0, }, */
	/* { .offset = B2062_N_RXBB_RSSI3, .value_a = 0x0055, .value_g = 0x0055, .flags = 0, }, */
	/* { .offset = B2062_N_RXBB_RSSI4, .value_a = 0x0001, .value_g = 0x0001, .flags = 0, }, */
	/* { .offset = B2062_N_RXBB_RSSI5, .value_a = 0x0055, .value_g = 0x0055, .flags = 0, }, */
	/* { .offset = B2062_N_TX_CTL0, .value_a = 0x0001, .value_g = 0x0001, .flags = 0, }, */
	/* { .offset = B2062_N_TX_CTL1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_TX_CTL2, .value_a = 0x0084, .value_g = 0x0084, .flags = 0, }, */
	/* { .offset = B2062_N_TX_CTL3, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2062_N_TX_CTL4, .value_a = 0x0003, .value_g = 0x0003, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2062_N_TX_CTL5, .value_a = 0x0002, .value_g = 0x0002, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2062_N_TX_CTL6, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_TX_CTL7, .value_a = 0x0058, .value_g = 0x0058, .flags = 0, }, */
	/* { .offset = B2062_N_TX_CTL8, .value_a = 0x0082, .value_g = 0x0082, .flags = 0, }, */
	/* { .offset = B2062_N_TX_CTL9, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_TX_CTL_A, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_TX_GC2G, .value_a = 0x00FF, .value_g = 0x00FF, .flags = 0, }, */
	/* { .offset = B2062_N_TX_GC5G, .value_a = 0x00FF, .value_g = 0x00FF, .flags = 0, }, */
	{ .offset = B2062_N_TX_TUNE, .value_a = 0x0088, .value_g = 0x001B, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2062_N_TX_PAD, .value_a = 0x0088, .value_g = 0x0088, .flags = 0, }, */
	/* { .offset = B2062_N_TX_PGA, .value_a = 0x0088, .value_g = 0x0088, .flags = 0, }, */
	/* { .offset = B2062_N_TX_PADAUX, .value_a = 0x0033, .value_g = 0x0033, .flags = 0, }, */
	/* { .offset = B2062_N_TX_PGAAUX, .value_a = 0x0033, .value_g = 0x0033, .flags = 0, }, */
	/* { .offset = B2062_N_TSSI_CTL0, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_TSSI_CTL1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_TSSI_CTL2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_IQ_CALIB_CTL0, .value_a = 0x0033, .value_g = 0x0033, .flags = 0, }, */
	/* { .offset = B2062_N_IQ_CALIB_CTL1, .value_a = 0x0055, .value_g = 0x0055, .flags = 0, }, */
	/* { .offset = B2062_N_IQ_CALIB_CTL2, .value_a = 0x0032, .value_g = 0x0032, .flags = 0, }, */
	/* { .offset = B2062_N_CALIB_TS, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_CALIB_CTL0, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_CALIB_CTL1, .value_a = 0x0015, .value_g = 0x0015, .flags = 0, }, */
	/* { .offset = B2062_N_CALIB_CTL2, .value_a = 0x000F, .value_g = 0x000F, .flags = 0, }, */
	/* { .offset = B2062_N_CALIB_CTL3, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_CALIB_CTL4, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_CALIB_DBG0, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_CALIB_DBG1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_CALIB_DBG2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_CALIB_DBG3, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_PSENSE_CTL0, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_PSENSE_CTL1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_PSENSE_CTL2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_N_TEST_BUF0, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_COMM1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_RADIO_ID_CODE, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_COMM2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_COMM3, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2062_S_COMM4, .value_a = 0x0001, .value_g = 0x0000, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2062_S_COMM5, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_COMM6, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_COMM7, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_COMM8, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_COMM9, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_COMM10, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_COMM11, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_COMM12, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_COMM13, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_COMM14, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_COMM15, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2062_S_PDS_CTL0, .value_a = 0x00FF, .value_g = 0x00FF, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2062_S_PDS_CTL1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_PDS_CTL2, .value_a = 0x008E, .value_g = 0x008E, .flags = 0, }, */
	/* { .offset = B2062_S_PDS_CTL3, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_BG_CTL0, .value_a = 0x0006, .value_g = 0x0006, .flags = 0, }, */
	/* { .offset = B2062_S_BG_CTL1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_BG_CTL2, .value_a = 0x0011, .value_g = 0x0011, .flags = 0, }, */
	{ .offset = B2062_S_LGENG_CTL0, .value_a = 0x00F8, .value_g = 0x00D8, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2062_S_LGENG_CTL1, .value_a = 0x003C, .value_g = 0x0024, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2062_S_LGENG_CTL2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_LGENG_CTL3, .value_a = 0x0041, .value_g = 0x0041, .flags = 0, }, */
	/* { .offset = B2062_S_LGENG_CTL4, .value_a = 0x0002, .value_g = 0x0002, .flags = 0, }, */
	/* { .offset = B2062_S_LGENG_CTL5, .value_a = 0x0033, .value_g = 0x0033, .flags = 0, }, */
	/* { .offset = B2062_S_LGENG_CTL6, .value_a = 0x0022, .value_g = 0x0022, .flags = 0, }, */
	/* { .offset = B2062_S_LGENG_CTL7, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2062_S_LGENG_CTL8, .value_a = 0x0088, .value_g = 0x0080, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2062_S_LGENG_CTL9, .value_a = 0x0088, .value_g = 0x0088, .flags = 0, }, */
	{ .offset = B2062_S_LGENG_CTL10, .value_a = 0x0088, .value_g = 0x0080, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2062_S_LGENG_CTL11, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_REFPLL_CTL0, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_REFPLL_CTL1, .value_a = 0x0007, .value_g = 0x0007, .flags = 0, }, */
	/* { .offset = B2062_S_REFPLL_CTL2, .value_a = 0x00AF, .value_g = 0x00AF, .flags = 0, }, */
	/* { .offset = B2062_S_REFPLL_CTL3, .value_a = 0x0012, .value_g = 0x0012, .flags = 0, }, */
	/* { .offset = B2062_S_REFPLL_CTL4, .value_a = 0x000B, .value_g = 0x000B, .flags = 0, }, */
	/* { .offset = B2062_S_REFPLL_CTL5, .value_a = 0x005F, .value_g = 0x005F, .flags = 0, }, */
	/* { .offset = B2062_S_REFPLL_CTL6, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_REFPLL_CTL7, .value_a = 0x0040, .value_g = 0x0040, .flags = 0, }, */
	/* { .offset = B2062_S_REFPLL_CTL8, .value_a = 0x0052, .value_g = 0x0052, .flags = 0, }, */
	/* { .offset = B2062_S_REFPLL_CTL9, .value_a = 0x0026, .value_g = 0x0026, .flags = 0, }, */
	/* { .offset = B2062_S_REFPLL_CTL10, .value_a = 0x0003, .value_g = 0x0003, .flags = 0, }, */
	/* { .offset = B2062_S_REFPLL_CTL11, .value_a = 0x0036, .value_g = 0x0036, .flags = 0, }, */
	/* { .offset = B2062_S_REFPLL_CTL12, .value_a = 0x0057, .value_g = 0x0057, .flags = 0, }, */
	/* { .offset = B2062_S_REFPLL_CTL13, .value_a = 0x0011, .value_g = 0x0011, .flags = 0, }, */
	/* { .offset = B2062_S_REFPLL_CTL14, .value_a = 0x0075, .value_g = 0x0075, .flags = 0, }, */
	/* { .offset = B2062_S_REFPLL_CTL15, .value_a = 0x00B4, .value_g = 0x00B4, .flags = 0, }, */
	/* { .offset = B2062_S_REFPLL_CTL16, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2062_S_RFPLL_CTL0, .value_a = 0x0098, .value_g = 0x0098, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2062_S_RFPLL_CTL1, .value_a = 0x0010, .value_g = 0x0010, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2062_S_RFPLL_CTL2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_RFPLL_CTL3, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_RFPLL_CTL4, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2062_S_RFPLL_CTL5, .value_a = 0x0043, .value_g = 0x0043, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2062_S_RFPLL_CTL6, .value_a = 0x0047, .value_g = 0x0047, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2062_S_RFPLL_CTL7, .value_a = 0x000C, .value_g = 0x000C, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2062_S_RFPLL_CTL8, .value_a = 0x0011, .value_g = 0x0011, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2062_S_RFPLL_CTL9, .value_a = 0x0011, .value_g = 0x0011, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2062_S_RFPLL_CTL10, .value_a = 0x000E, .value_g = 0x000E, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2062_S_RFPLL_CTL11, .value_a = 0x0008, .value_g = 0x0008, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2062_S_RFPLL_CTL12, .value_a = 0x0033, .value_g = 0x0033, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2062_S_RFPLL_CTL13, .value_a = 0x000A, .value_g = 0x000A, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2062_S_RFPLL_CTL14, .value_a = 0x0006, .value_g = 0x0006, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2062_S_RFPLL_CTL15, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_RFPLL_CTL16, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_RFPLL_CTL17, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2062_S_RFPLL_CTL18, .value_a = 0x003E, .value_g = 0x003E, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2062_S_RFPLL_CTL19, .value_a = 0x0013, .value_g = 0x0013, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2062_S_RFPLL_CTL20, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2062_S_RFPLL_CTL21, .value_a = 0x0062, .value_g = 0x0062, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2062_S_RFPLL_CTL22, .value_a = 0x0007, .value_g = 0x0007, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2062_S_RFPLL_CTL23, .value_a = 0x0016, .value_g = 0x0016, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2062_S_RFPLL_CTL24, .value_a = 0x005C, .value_g = 0x005C, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2062_S_RFPLL_CTL25, .value_a = 0x0095, .value_g = 0x0095, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2062_S_RFPLL_CTL26, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_RFPLL_CTL27, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_RFPLL_CTL28, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_RFPLL_CTL29, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2062_S_RFPLL_CTL30, .value_a = 0x00A0, .value_g = 0x00A0, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2062_S_RFPLL_CTL31, .value_a = 0x0004, .value_g = 0x0004, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2062_S_RFPLL_CTL32, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2062_S_RFPLL_CTL33, .value_a = 0x00CC, .value_g = 0x00CC, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2062_S_RFPLL_CTL34, .value_a = 0x0007, .value_g = 0x0007, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2062_S_RXG_CNT0, .value_a = 0x0010, .value_g = 0x0010, .flags = 0, }, */
	/* { .offset = B2062_S_RXG_CNT1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_RXG_CNT2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_RXG_CNT3, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_RXG_CNT4, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_RXG_CNT5, .value_a = 0x0055, .value_g = 0x0055, .flags = 0, }, */
	/* { .offset = B2062_S_RXG_CNT6, .value_a = 0x0055, .value_g = 0x0055, .flags = 0, }, */
	/* { .offset = B2062_S_RXG_CNT7, .value_a = 0x0005, .value_g = 0x0005, .flags = 0, }, */
	{ .offset = B2062_S_RXG_CNT8, .value_a = 0x000F, .value_g = 0x000F, .flags = B206X_FLAG_A, },
	/* { .offset = B2062_S_RXG_CNT9, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_RXG_CNT10, .value_a = 0x0055, .value_g = 0x0055, .flags = 0, }, */
	/* { .offset = B2062_S_RXG_CNT11, .value_a = 0x0066, .value_g = 0x0066, .flags = 0, }, */
	/* { .offset = B2062_S_RXG_CNT12, .value_a = 0x0055, .value_g = 0x0055, .flags = 0, }, */
	/* { .offset = B2062_S_RXG_CNT13, .value_a = 0x0044, .value_g = 0x0044, .flags = 0, }, */
	/* { .offset = B2062_S_RXG_CNT14, .value_a = 0x00A0, .value_g = 0x00A0, .flags = 0, }, */
	/* { .offset = B2062_S_RXG_CNT15, .value_a = 0x0004, .value_g = 0x0004, .flags = 0, }, */
	/* { .offset = B2062_S_RXG_CNT16, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2062_S_RXG_CNT17, .value_a = 0x0055, .value_g = 0x0055, .flags = 0, }, */
};

static const struct b206x_init_tab_entry b2063_init_tab[] = {
	{ .offset = B2063_COMM1, .value_a = 0x0000, .value_g = 0x0000, .flags = B206X_FLAG_G, },
	/* { .offset = B2063_COMM2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_COMM3, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_COMM4, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_COMM5, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_COMM6, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_COMM7, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_COMM8, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_COMM9, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2063_COMM10, .value_a = 0x0001, .value_g = 0x0000, .flags = B206X_FLAG_A, },
	/* { .offset = B2063_COMM11, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_COMM12, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_COMM13, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_COMM14, .value_a = 0x0006, .value_g = 0x0006, .flags = 0, }, */
	/* { .offset = B2063_COMM15, .value_a = 0x000f, .value_g = 0x000f, .flags = 0, }, */
	{ .offset = B2063_COMM16, .value_a = 0x0000, .value_g = 0x0000, .flags = B206X_FLAG_G, },
	{ .offset = B2063_COMM17, .value_a = 0x0000, .value_g = 0x0000, .flags = B206X_FLAG_G, },
	{ .offset = B2063_COMM18, .value_a = 0x0000, .value_g = 0x0000, .flags = B206X_FLAG_G, },
	{ .offset = B2063_COMM19, .value_a = 0x0000, .value_g = 0x0000, .flags = B206X_FLAG_G, },
	{ .offset = B2063_COMM20, .value_a = 0x0000, .value_g = 0x0000, .flags = B206X_FLAG_G, },
	{ .offset = B2063_COMM21, .value_a = 0x0000, .value_g = 0x0000, .flags = B206X_FLAG_G, },
	{ .offset = B2063_COMM22, .value_a = 0x0000, .value_g = 0x0000, .flags = B206X_FLAG_G, },
	{ .offset = B2063_COMM23, .value_a = 0x0000, .value_g = 0x0000, .flags = B206X_FLAG_G, },
	{ .offset = B2063_COMM24, .value_a = 0x0000, .value_g = 0x0000, .flags = B206X_FLAG_G, },
	/* { .offset = B2063_PWR_SWITCH_CTL, .value_a = 0x007f, .value_g = 0x007f, .flags = 0, }, */
	/* { .offset = B2063_PLL_SP1, .value_a = 0x003f, .value_g = 0x003f, .flags = 0, }, */
	/* { .offset = B2063_PLL_SP2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2063_LOGEN_SP1, .value_a = 0x00e8, .value_g = 0x00d4, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2063_LOGEN_SP2, .value_a = 0x00a7, .value_g = 0x0053, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2063_LOGEN_SP3, .value_a = 0x00ff, .value_g = 0x00ff, .flags = 0, }, */
	{ .offset = B2063_LOGEN_SP4, .value_a = 0x00f0, .value_g = 0x000f, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2063_LOGEN_SP5, .value_a = 0x0001, .value_g = 0x0001, .flags = 0, }, */
	{ .offset = B2063_G_RX_SP1, .value_a = 0x001f, .value_g = 0x005e, .flags = B206X_FLAG_G, },
	{ .offset = B2063_G_RX_SP2, .value_a = 0x007f, .value_g = 0x007e, .flags = B206X_FLAG_G, },
	{ .offset = B2063_G_RX_SP3, .value_a = 0x0030, .value_g = 0x00f0, .flags = B206X_FLAG_G, },
	/* { .offset = B2063_G_RX_SP4, .value_a = 0x0035, .value_g = 0x0035, .flags = 0, }, */
	/* { .offset = B2063_G_RX_SP5, .value_a = 0x003f, .value_g = 0x003f, .flags = 0, }, */
	/* { .offset = B2063_G_RX_SP6, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2063_G_RX_SP7, .value_a = 0x007f, .value_g = 0x007f, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2063_G_RX_SP8, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_G_RX_SP9, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2063_G_RX_SP10, .value_a = 0x000c, .value_g = 0x000c, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2063_G_RX_SP11, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2063_A_RX_SP1, .value_a = 0x003c, .value_g = 0x003f, .flags = B206X_FLAG_A, },
	{ .offset = B2063_A_RX_SP2, .value_a = 0x00fc, .value_g = 0x00fe, .flags = B206X_FLAG_A, },
	/* { .offset = B2063_A_RX_SP3, .value_a = 0x00ff, .value_g = 0x00ff, .flags = 0, }, */
	/* { .offset = B2063_A_RX_SP4, .value_a = 0x00ff, .value_g = 0x00ff, .flags = 0, }, */
	/* { .offset = B2063_A_RX_SP5, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_A_RX_SP6, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2063_A_RX_SP7, .value_a = 0x0008, .value_g = 0x0008, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2063_RX_BB_SP1, .value_a = 0x000f, .value_g = 0x000f, .flags = 0, }, */
	/* { .offset = B2063_RX_BB_SP2, .value_a = 0x0022, .value_g = 0x0022, .flags = 0, }, */
	/* { .offset = B2063_RX_BB_SP3, .value_a = 0x00a8, .value_g = 0x00a8, .flags = 0, }, */
	{ .offset = B2063_RX_BB_SP4, .value_a = 0x0060, .value_g = 0x0060, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2063_RX_BB_SP5, .value_a = 0x0011, .value_g = 0x0011, .flags = 0, }, */
	/* { .offset = B2063_RX_BB_SP6, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_RX_BB_SP7, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2063_RX_BB_SP8, .value_a = 0x0030, .value_g = 0x0030, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2063_TX_RF_SP1, .value_a = 0x0001, .value_g = 0x0001, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_SP2, .value_a = 0x0003, .value_g = 0x0003, .flags = 0, }, */
	{ .offset = B2063_TX_RF_SP3, .value_a = 0x000c, .value_g = 0x000b, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2063_TX_RF_SP4, .value_a = 0x0010, .value_g = 0x000f, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2063_TX_RF_SP5, .value_a = 0x000f, .value_g = 0x000f, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_SP6, .value_a = 0x0080, .value_g = 0x0080, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_SP7, .value_a = 0x0068, .value_g = 0x0068, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_SP8, .value_a = 0x0068, .value_g = 0x0068, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_SP9, .value_a = 0x0080, .value_g = 0x0080, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_SP10, .value_a = 0x00ff, .value_g = 0x00ff, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_SP11, .value_a = 0x0003, .value_g = 0x0003, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_SP12, .value_a = 0x0038, .value_g = 0x0038, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_SP13, .value_a = 0x00ff, .value_g = 0x00ff, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_SP14, .value_a = 0x0038, .value_g = 0x0038, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_SP15, .value_a = 0x00c0, .value_g = 0x00c0, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_SP16, .value_a = 0x00ff, .value_g = 0x00ff, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_SP17, .value_a = 0x00ff, .value_g = 0x00ff, .flags = 0, }, */
	{ .offset = B2063_PA_SP1, .value_a = 0x003d, .value_g = 0x00fd, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2063_PA_SP2, .value_a = 0x000c, .value_g = 0x000c, .flags = 0, }, */
	/* { .offset = B2063_PA_SP3, .value_a = 0x0096, .value_g = 0x0096, .flags = 0, }, */
	/* { .offset = B2063_PA_SP4, .value_a = 0x005a, .value_g = 0x005a, .flags = 0, }, */
	/* { .offset = B2063_PA_SP5, .value_a = 0x007f, .value_g = 0x007f, .flags = 0, }, */
	/* { .offset = B2063_PA_SP6, .value_a = 0x007f, .value_g = 0x007f, .flags = 0, }, */
	/* { .offset = B2063_PA_SP7, .value_a = 0x0033, .value_g = 0x0033, .flags = 0, }, */
	{ .offset = B2063_TX_BB_SP1, .value_a = 0x0002, .value_g = 0x0002, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2063_TX_BB_SP2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_TX_BB_SP3, .value_a = 0x0030, .value_g = 0x0030, .flags = 0, }, */
	/* { .offset = B2063_REG_SP1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2063_BANDGAP_CTL1, .value_a = 0x0056, .value_g = 0x0056, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2063_BANDGAP_CTL2, .value_a = 0x0006, .value_g = 0x0006, .flags = 0, }, */
	/* { .offset = B2063_LPO_CTL1, .value_a = 0x000e, .value_g = 0x000e, .flags = 0, }, */
	/* { .offset = B2063_RC_CALIB_CTL1, .value_a = 0x007e, .value_g = 0x007e, .flags = 0, }, */
	/* { .offset = B2063_RC_CALIB_CTL2, .value_a = 0x0015, .value_g = 0x0015, .flags = 0, }, */
	/* { .offset = B2063_RC_CALIB_CTL3, .value_a = 0x000f, .value_g = 0x000f, .flags = 0, }, */
	/* { .offset = B2063_RC_CALIB_CTL4, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_RC_CALIB_CTL5, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_RC_CALIB_CTL6, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_RC_CALIB_CTL7, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_RC_CALIB_CTL8, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_RC_CALIB_CTL9, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_RC_CALIB_CTL10, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_CALNRST, .value_a = 0x0004, .value_g = 0x0004, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_IN_PLL1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_IN_PLL2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_CP1, .value_a = 0x00cf, .value_g = 0x00cf, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_CP2, .value_a = 0x0059, .value_g = 0x0059, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_CP3, .value_a = 0x0007, .value_g = 0x0007, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_CP4, .value_a = 0x0042, .value_g = 0x0042, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_CTL1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_LF1, .value_a = 0x00db, .value_g = 0x00db, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_LF2, .value_a = 0x0094, .value_g = 0x0094, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_LF3, .value_a = 0x0028, .value_g = 0x0028, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_LF4, .value_a = 0x0063, .value_g = 0x0063, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_SG1, .value_a = 0x0007, .value_g = 0x0007, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_SG2, .value_a = 0x00d3, .value_g = 0x00d3, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_SG3, .value_a = 0x00b1, .value_g = 0x00b1, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_SG4, .value_a = 0x003b, .value_g = 0x003b, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_SG5, .value_a = 0x0006, .value_g = 0x0006, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_VCO1, .value_a = 0x0058, .value_g = 0x0058, .flags = 0, }, */
	{ .offset = B2063_PLL_JTAG_PLL_VCO2, .value_a = 0x00f7, .value_g = 0x00f7, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2063_PLL_JTAG_PLL_VCO_CALIB1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_VCO_CALIB2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_VCO_CALIB3, .value_a = 0x0002, .value_g = 0x0002, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_VCO_CALIB4, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_VCO_CALIB5, .value_a = 0x0009, .value_g = 0x0009, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_VCO_CALIB6, .value_a = 0x0005, .value_g = 0x0005, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_VCO_CALIB7, .value_a = 0x0016, .value_g = 0x0016, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_VCO_CALIB8, .value_a = 0x006b, .value_g = 0x006b, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_VCO_CALIB9, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_VCO_CALIB10, .value_a = 0x00b3, .value_g = 0x00b3, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_XTAL_12, .value_a = 0x0004, .value_g = 0x0004, .flags = 0, }, */
	/* { .offset = B2063_PLL_JTAG_PLL_XTAL3, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_ACL1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_ACL2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_ACL3, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_ACL4, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_ACL5, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LO_CALIB_INPUTS, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LO_CALIB_CTL1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LO_CALIB_CTL2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LO_CALIB_CTL3, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LO_CALIB_WAITCNT, .value_a = 0x0002, .value_g = 0x0002, .flags = 0, }, */
	/* { .offset = B2063_LO_CALIB_OVR1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LO_CALIB_OVR2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LO_CALIB_OVAL1, .value_a = 0x0066, .value_g = 0x0066, .flags = 0, }, */
	/* { .offset = B2063_LO_CALIB_OVAL2, .value_a = 0x0066, .value_g = 0x0066, .flags = 0, }, */
	/* { .offset = B2063_LO_CALIB_OVAL3, .value_a = 0x0066, .value_g = 0x0066, .flags = 0, }, */
	/* { .offset = B2063_LO_CALIB_OVAL4, .value_a = 0x0066, .value_g = 0x0066, .flags = 0, }, */
	/* { .offset = B2063_LO_CALIB_OVAL5, .value_a = 0x0066, .value_g = 0x0066, .flags = 0, }, */
	/* { .offset = B2063_LO_CALIB_OVAL6, .value_a = 0x0066, .value_g = 0x0066, .flags = 0, }, */
	/* { .offset = B2063_LO_CALIB_OVAL7, .value_a = 0x0066, .value_g = 0x0066, .flags = 0, }, */
	/* { .offset = B2063_LO_CALIB_CALVLD1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LO_CALIB_CALVLD2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LO_CALIB_CVAL1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LO_CALIB_CVAL2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LO_CALIB_CVAL3, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LO_CALIB_CVAL4, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LO_CALIB_CVAL5, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LO_CALIB_CVAL6, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LO_CALIB_CVAL7, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_CALIB_EN, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_PEAKDET1, .value_a = 0x00ff, .value_g = 0x00ff, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_RCCR1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_VCOBUF1, .value_a = 0x0060, .value_g = 0x0060, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_MIXER1, .value_a = 0x0066, .value_g = 0x0066, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_MIXER2, .value_a = 0x000c, .value_g = 0x000c, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_BUF1, .value_a = 0x0066, .value_g = 0x0066, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_BUF2, .value_a = 0x000c, .value_g = 0x000c, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_DIV1, .value_a = 0x0001, .value_g = 0x0001, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_DIV2, .value_a = 0x0066, .value_g = 0x0066, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_DIV3, .value_a = 0x0066, .value_g = 0x0066, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_CBUFRX1, .value_a = 0x0066, .value_g = 0x0066, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_CBUFRX2, .value_a = 0x0066, .value_g = 0x0066, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_CBUFTX1, .value_a = 0x0066, .value_g = 0x0066, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_CBUFTX2, .value_a = 0x0066, .value_g = 0x0066, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_IDAC1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_SPARE1, .value_a = 0x0001, .value_g = 0x0001, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_SPARE2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_LOGEN_SPARE3, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_G_RX_1ST1, .value_a = 0x0033, .value_g = 0x0033, .flags = 0, }, */
	/* { .offset = B2063_G_RX_1ST2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_G_RX_1ST3, .value_a = 0x0005, .value_g = 0x0005, .flags = 0, }, */
	/* { .offset = B2063_G_RX_2ND1, .value_a = 0x0030, .value_g = 0x0030, .flags = 0, }, */
	/* { .offset = B2063_G_RX_2ND2, .value_a = 0x0055, .value_g = 0x0055, .flags = 0, }, */
	/* { .offset = B2063_G_RX_2ND3, .value_a = 0x0033, .value_g = 0x0033, .flags = 0, }, */
	/* { .offset = B2063_G_RX_2ND4, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_G_RX_2ND5, .value_a = 0x0033, .value_g = 0x0033, .flags = 0, }, */
	/* { .offset = B2063_G_RX_2ND6, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_G_RX_2ND7, .value_a = 0x0035, .value_g = 0x0035, .flags = 0, }, */
	/* { .offset = B2063_G_RX_2ND8, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_G_RX_PS1, .value_a = 0x0033, .value_g = 0x0033, .flags = 0, }, */
	/* { .offset = B2063_G_RX_PS2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_G_RX_PS3, .value_a = 0x0033, .value_g = 0x0033, .flags = 0, }, */
	/* { .offset = B2063_G_RX_PS4, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_G_RX_PS5, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_G_RX_MIX1, .value_a = 0x0044, .value_g = 0x0044, .flags = 0, }, */
	/* { .offset = B2063_G_RX_MIX2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2063_G_RX_MIX3, .value_a = 0x0071, .value_g = 0x0071, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2063_G_RX_MIX4, .value_a = 0x0071, .value_g = 0x0071, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2063_G_RX_MIX5, .value_a = 0x0003, .value_g = 0x0003, .flags = 0, }, */
	/* { .offset = B2063_G_RX_MIX6, .value_a = 0x0088, .value_g = 0x0088, .flags = 0, }, */
	/* { .offset = B2063_G_RX_MIX7, .value_a = 0x0044, .value_g = 0x0044, .flags = 0, }, */
	/* { .offset = B2063_G_RX_MIX8, .value_a = 0x0001, .value_g = 0x0001, .flags = 0, }, */
	/* { .offset = B2063_G_RX_PDET1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_G_RX_SPARES1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_G_RX_SPARES2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_G_RX_SPARES3, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_A_RX_1ST1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2063_A_RX_1ST2, .value_a = 0x00f0, .value_g = 0x0030, .flags = B206X_FLAG_A, },
	/* { .offset = B2063_A_RX_1ST3, .value_a = 0x0005, .value_g = 0x0005, .flags = 0, }, */
	/* { .offset = B2063_A_RX_1ST4, .value_a = 0x0033, .value_g = 0x0033, .flags = 0, }, */
	/* { .offset = B2063_A_RX_1ST5, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_A_RX_2ND1, .value_a = 0x0005, .value_g = 0x0005, .flags = 0, }, */
	/* { .offset = B2063_A_RX_2ND2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_A_RX_2ND3, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_A_RX_2ND4, .value_a = 0x0005, .value_g = 0x0005, .flags = 0, }, */
	/* { .offset = B2063_A_RX_2ND5, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_A_RX_2ND6, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_A_RX_2ND7, .value_a = 0x0005, .value_g = 0x0005, .flags = 0, }, */
	/* { .offset = B2063_A_RX_PS1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_A_RX_PS2, .value_a = 0x0033, .value_g = 0x0033, .flags = 0, }, */
	/* { .offset = B2063_A_RX_PS3, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_A_RX_PS4, .value_a = 0x0033, .value_g = 0x0033, .flags = 0, }, */
	/* { .offset = B2063_A_RX_PS5, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2063_A_RX_PS6, .value_a = 0x0077, .value_g = 0x0077, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2063_A_RX_MIX1, .value_a = 0x0088, .value_g = 0x0088, .flags = 0, }, */
	/* { .offset = B2063_A_RX_MIX2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_A_RX_MIX3, .value_a = 0x0044, .value_g = 0x0044, .flags = 0, }, */
	{ .offset = B2063_A_RX_MIX4, .value_a = 0x0003, .value_g = 0x0003, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2063_A_RX_MIX5, .value_a = 0x000f, .value_g = 0x000f, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	{ .offset = B2063_A_RX_MIX6, .value_a = 0x000f, .value_g = 0x000f, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2063_A_RX_MIX7, .value_a = 0x0044, .value_g = 0x0044, .flags = 0, }, */
	/* { .offset = B2063_A_RX_MIX8, .value_a = 0x0001, .value_g = 0x0001, .flags = 0, }, */
	/* { .offset = B2063_A_RX_PWRDET1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_A_RX_SPARE1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_A_RX_SPARE2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_A_RX_SPARE3, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2063_RX_TIA_CTL1, .value_a = 0x0077, .value_g = 0x0077, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2063_RX_TIA_CTL2, .value_a = 0x0058, .value_g = 0x0058, .flags = 0, }, */
	{ .offset = B2063_RX_TIA_CTL3, .value_a = 0x0077, .value_g = 0x0077, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2063_RX_TIA_CTL4, .value_a = 0x0058, .value_g = 0x0058, .flags = 0, }, */
	/* { .offset = B2063_RX_TIA_CTL5, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_RX_TIA_CTL6, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_RX_BB_CTL1, .value_a = 0x0074, .value_g = 0x0074, .flags = 0, }, */
	{ .offset = B2063_RX_BB_CTL2, .value_a = 0x0004, .value_g = 0x0004, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2063_RX_BB_CTL3, .value_a = 0x00a2, .value_g = 0x00a2, .flags = 0, }, */
	/* { .offset = B2063_RX_BB_CTL4, .value_a = 0x00aa, .value_g = 0x00aa, .flags = 0, }, */
	/* { .offset = B2063_RX_BB_CTL5, .value_a = 0x0024, .value_g = 0x0024, .flags = 0, }, */
	/* { .offset = B2063_RX_BB_CTL6, .value_a = 0x00a9, .value_g = 0x00a9, .flags = 0, }, */
	/* { .offset = B2063_RX_BB_CTL7, .value_a = 0x0028, .value_g = 0x0028, .flags = 0, }, */
	/* { .offset = B2063_RX_BB_CTL8, .value_a = 0x0010, .value_g = 0x0010, .flags = 0, }, */
	/* { .offset = B2063_RX_BB_CTL9, .value_a = 0x0055, .value_g = 0x0055, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_CTL1, .value_a = 0x0080, .value_g = 0x0080, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_IDAC_LO_RF_I, .value_a = 0x0088, .value_g = 0x0088, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_IDAC_LO_RF_Q, .value_a = 0x0088, .value_g = 0x0088, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_IDAC_LO_BB_I, .value_a = 0x0088, .value_g = 0x0088, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_IDAC_LO_BB_Q, .value_a = 0x0088, .value_g = 0x0088, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_CTL2, .value_a = 0x0080, .value_g = 0x0080, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_CTL3, .value_a = 0x0038, .value_g = 0x0038, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_CTL4, .value_a = 0x00b8, .value_g = 0x00b8, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_CTL5, .value_a = 0x0080, .value_g = 0x0080, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_CTL6, .value_a = 0x0038, .value_g = 0x0038, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_CTL7, .value_a = 0x0078, .value_g = 0x0078, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_CTL8, .value_a = 0x00c0, .value_g = 0x00c0, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_CTL9, .value_a = 0x0003, .value_g = 0x0003, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_CTL10, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_CTL14, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_TX_RF_CTL15, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2063_PA_CTL1, .value_a = 0x0000, .value_g = 0x0004, .flags = B206X_FLAG_A, },
	/* { .offset = B2063_PA_CTL2, .value_a = 0x000c, .value_g = 0x000c, .flags = 0, }, */
	/* { .offset = B2063_PA_CTL3, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_PA_CTL4, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_PA_CTL5, .value_a = 0x0096, .value_g = 0x0096, .flags = 0, }, */
	/* { .offset = B2063_PA_CTL6, .value_a = 0x0077, .value_g = 0x0077, .flags = 0, }, */
	/* { .offset = B2063_PA_CTL7, .value_a = 0x005a, .value_g = 0x005a, .flags = 0, }, */
	/* { .offset = B2063_PA_CTL8, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_PA_CTL9, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_PA_CTL10, .value_a = 0x0021, .value_g = 0x0021, .flags = 0, }, */
	/* { .offset = B2063_PA_CTL11, .value_a = 0x0070, .value_g = 0x0070, .flags = 0, }, */
	/* { .offset = B2063_PA_CTL12, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_PA_CTL13, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_TX_BB_CTL1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_TX_BB_CTL2, .value_a = 0x00b3, .value_g = 0x00b3, .flags = 0, }, */
	/* { .offset = B2063_TX_BB_CTL3, .value_a = 0x0055, .value_g = 0x0055, .flags = 0, }, */
	/* { .offset = B2063_TX_BB_CTL4, .value_a = 0x000b, .value_g = 0x000b, .flags = 0, }, */
	/* { .offset = B2063_GPIO_CTL1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	{ .offset = B2063_VREG_CTL1, .value_a = 0x0003, .value_g = 0x0003, .flags = B206X_FLAG_A | B206X_FLAG_G, },
	/* { .offset = B2063_AMUX_CTL1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_IQ_CALIB_GVAR, .value_a = 0x00b3, .value_g = 0x00b3, .flags = 0, }, */
	/* { .offset = B2063_IQ_CALIB_CTL1, .value_a = 0x0055, .value_g = 0x0055, .flags = 0, }, */
	/* { .offset = B2063_IQ_CALIB_CTL2, .value_a = 0x0030, .value_g = 0x0030, .flags = 0, }, */
	/* { .offset = B2063_TEMPSENSE_CTL1, .value_a = 0x0046, .value_g = 0x0046, .flags = 0, }, */
	/* { .offset = B2063_TEMPSENSE_CTL2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_TX_RX_LOOPBACK1, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_TX_RX_LOOPBACK2, .value_a = 0x0000, .value_g = 0x0000, .flags = 0, }, */
	/* { .offset = B2063_EXT_TSSI_CTL1, .value_a = 0x0021, .value_g = 0x0021, .flags = 0, }, */
	/* { .offset = B2063_EXT_TSSI_CTL2, .value_a = 0x0023, .value_g = 0x0023, .flags = 0, }, */
	/* { .offset = B2063_AFE_CTL , .value_a = 0x0002, .value_g = 0x0002, .flags = 0, }, */
};

void b2062_upload_init_table(struct b43_wldev *dev)
{
	const struct b206x_init_tab_entry *e;
	unsigned int i;

	for (i = 0; i < ARRAY_SIZE(b2062_init_tab); i++) {
		e = &b2062_init_tab[i];
		if (b43_current_band(dev->wl) == IEEE80211_BAND_2GHZ) {
			if (!(e->flags & B206X_FLAG_G))
				continue;
			b43_radio_write(dev, e->offset, e->value_g);
		} else {
			if (!(e->flags & B206X_FLAG_A))
				continue;
			b43_radio_write(dev, e->offset, e->value_a);
		}
	}
}

void b2063_upload_init_table(struct b43_wldev *dev)
{
	const struct b206x_init_tab_entry *e;
	unsigned int i;

	for (i = 0; i < ARRAY_SIZE(b2063_init_tab); i++) {
		e = &b2063_init_tab[i];
		if (b43_current_band(dev->wl) == IEEE80211_BAND_2GHZ) {
			if (!(e->flags & B206X_FLAG_G))
				continue;
			b43_radio_write(dev, e->offset, e->value_g);
		} else {
			if (!(e->flags & B206X_FLAG_A))
				continue;
			b43_radio_write(dev, e->offset, e->value_a);
		}
	}
}

u32 b43_lptab_read(struct b43_wldev *dev, u32 offset)
{
	u32 type, value;

	type = offset & B43_LPTAB_TYPEMASK;
	offset &= ~B43_LPTAB_TYPEMASK;
	B43_WARN_ON(offset > 0xFFFF);

	switch (type) {
	case B43_LPTAB_8BIT:
		b43_phy_write(dev, B43_LPPHY_TABLE_ADDR, offset);
		value = b43_phy_read(dev, B43_LPPHY_TABLEDATALO) & 0xFF;
		break;
	case B43_LPTAB_16BIT:
		b43_phy_write(dev, B43_LPPHY_TABLE_ADDR, offset);
		value = b43_phy_read(dev, B43_LPPHY_TABLEDATALO);
		break;
	case B43_LPTAB_32BIT:
		b43_phy_write(dev, B43_LPPHY_TABLE_ADDR, offset);
		value = b43_phy_read(dev, B43_LPPHY_TABLEDATAHI);
		value <<= 16;
		value |= b43_phy_read(dev, B43_LPPHY_TABLEDATALO);
		break;
	default:
		B43_WARN_ON(1);
		value = 0;
	}

	return value;
}

void b43_lptab_read_bulk(struct b43_wldev *dev, u32 offset,
			 unsigned int nr_elements, void *_data)
{
	u32 type;
	u8 *data = _data;
	unsigned int i;

	type = offset & B43_LPTAB_TYPEMASK;
	offset &= ~B43_LPTAB_TYPEMASK;
	B43_WARN_ON(offset > 0xFFFF);

	b43_phy_write(dev, B43_LPPHY_TABLE_ADDR, offset);

	for (i = 0; i < nr_elements; i++) {
		switch (type) {
		case B43_LPTAB_8BIT:
			*data = b43_phy_read(dev, B43_LPPHY_TABLEDATALO) & 0xFF;
			data++;
			break;
		case B43_LPTAB_16BIT:
			*((u16 *)data) = b43_phy_read(dev, B43_LPPHY_TABLEDATALO);
			data += 2;
			break;
		case B43_LPTAB_32BIT:
			*((u32 *)data) = b43_phy_read(dev, B43_LPPHY_TABLEDATAHI);
			*((u32 *)data) <<= 16;
			*((u32 *)data) |= b43_phy_read(dev, B43_LPPHY_TABLEDATALO);
			data += 4;
			break;
		default:
			B43_WARN_ON(1);
		}
	}
}

void b43_lptab_write(struct b43_wldev *dev, u32 offset, u32 value)
{
	u32 type;

	type = offset & B43_LPTAB_TYPEMASK;
	offset &= ~B43_LPTAB_TYPEMASK;
	B43_WARN_ON(offset > 0xFFFF);

	switch (type) {
	case B43_LPTAB_8BIT:
		B43_WARN_ON(value & ~0xFF);
		b43_phy_write(dev, B43_LPPHY_TABLE_ADDR, offset);
		b43_phy_write(dev, B43_LPPHY_TABLEDATALO, value);
		break;
	case B43_LPTAB_16BIT:
		B43_WARN_ON(value & ~0xFFFF);
		b43_phy_write(dev, B43_LPPHY_TABLE_ADDR, offset);
		b43_phy_write(dev, B43_LPPHY_TABLEDATALO, value);
		break;
	case B43_LPTAB_32BIT:
		b43_phy_write(dev, B43_LPPHY_TABLE_ADDR, offset);
		b43_phy_write(dev, B43_LPPHY_TABLEDATAHI, value >> 16);
		b43_phy_write(dev, B43_LPPHY_TABLEDATALO, value);
		break;
	default:
		B43_WARN_ON(1);
	}
}

void b43_lptab_write_bulk(struct b43_wldev *dev, u32 offset,
			  unsigned int nr_elements, const void *_data)
{
	u32 type, value;
	const u8 *data = _data;
	unsigned int i;

	type = offset & B43_LPTAB_TYPEMASK;
	offset &= ~B43_LPTAB_TYPEMASK;
	B43_WARN_ON(offset > 0xFFFF);

	b43_phy_write(dev, B43_LPPHY_TABLE_ADDR, offset);

	for (i = 0; i < nr_elements; i++) {
		switch (type) {
		case B43_LPTAB_8BIT:
			value = *data;
			data++;
			B43_WARN_ON(value & ~0xFF);
			b43_phy_write(dev, B43_LPPHY_TABLEDATALO, value);
			break;
		case B43_LPTAB_16BIT:
			value = *((u16 *)data);
			data += 2;
			B43_WARN_ON(value & ~0xFFFF);
			b43_phy_write(dev, B43_LPPHY_TABLEDATALO, value);
			break;
		case B43_LPTAB_32BIT:
			value = *((u32 *)data);
			data += 4;
			b43_phy_write(dev, B43_LPPHY_TABLEDATAHI, value >> 16);
			b43_phy_write(dev, B43_LPPHY_TABLEDATALO, value);
			break;
		default:
			B43_WARN_ON(1);
		}
	}
}

static const u8 lpphy_min_sig_sq_table[] = {
	0xde, 0xdc, 0xda, 0xd8, 0xd6, 0xd4, 0xd2, 0xcf, 0xcd,
	0xca, 0xc7, 0xc4, 0xc1, 0xbe, 0xbe, 0xbe, 0xbe, 0xbe,
	0xbe, 0xbe, 0xbe, 0xbe, 0xbe, 0xbe, 0xbe, 0xbe, 0x00,
	0xbe, 0xbe, 0xbe, 0xbe, 0xbe, 0xbe, 0xbe, 0xbe, 0xbe,
	0xbe, 0xbe, 0xbe, 0xbe, 0xc1, 0xc4, 0xc7, 0xca, 0xcd,
	0xcf, 0xd2, 0xd4, 0xd6, 0xd8, 0xda, 0xdc, 0xde,
};

static const u16 lpphy_rev01_noise_scale_table[] = {
	0xa4a4, 0xa4a4, 0xa4a4, 0xa4a4, 0xa4a4, 0xa4a4, 0xa4a4, 0xa4a4, 0xa4a4,
	0xa4a4, 0xa4a4, 0xa4a4, 0xa4a4, 0xa400, 0xa4a4, 0xa4a4, 0xa4a4, 0xa4a4,
	0xa4a4, 0xa4a4, 0xa4a4, 0xa4a4, 0xa4a4, 0xa4a4, 0xa4a4, 0xa4a4, 0x00a4,
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x4c00, 0x2d36,
	0x0000, 0x0000, 0x4c00, 0x2d36,
};

static const u16 lpphy_rev2plus_noise_scale_table[] = {
	0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4,
	0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4,
	0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x0000,
	0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4,
	0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4,
	0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4, 0x00a4,
	0x00a4,
};

static const u16 lpphy_crs_gain_nft_table[] = {
	0x0366, 0x036a, 0x036f, 0x0364, 0x0367, 0x036d, 0x0374, 0x037f, 0x036f,
	0x037b, 0x038a, 0x0378, 0x0367, 0x036d, 0x0375, 0x0381, 0x0374, 0x0381,
	0x0392, 0x03a9, 0x03c4, 0x03e1, 0x0001, 0x001f, 0x0040, 0x005e, 0x007f,
	0x009e, 0x00bd, 0x00dd, 0x00fd, 0x011d, 0x013d,
};

static const u16 lpphy_rev01_filter_control_table[] = {
	0xa0fc, 0x10fc, 0x10db, 0x20b7, 0xff93, 0x10bf, 0x109b, 0x2077, 0xff53,
	0x0127,
};

static const u32 lpphy_rev2plus_filter_control_table[] = {
	0x000141fc, 0x000021fc, 0x000021b7, 0x0000416f, 0x0001ff27, 0x0000217f,
	0x00002137, 0x000040ef, 0x0001fea7, 0x0000024f,
};

static const u32 lpphy_rev01_ps_control_table[] = {
	0x00010000, 0x000000a0, 0x00040000, 0x00000048, 0x08080101, 0x00000080,
	0x08080101, 0x00000040, 0x08080101, 0x000000c0, 0x08a81501, 0x000000c0,
	0x0fe8fd01, 0x000000c0, 0x08300105, 0x000000c0, 0x08080201, 0x000000c0,
	0x08280205, 0x000000c0, 0xe80802fe, 0x000000c7, 0x28080206, 0x000000c0,
	0x08080202, 0x000000c0, 0x0ba87602, 0x000000c0, 0x1068013d, 0x000000c0,
	0x10280105, 0x000000c0, 0x08880102, 0x000000c0, 0x08280106, 0x000000c0,
	0xe80801fd, 0x000000c7, 0xa8080115, 0x000000c0,
};

static const u32 lpphy_rev2plus_ps_control_table[] = {
	0x00e38e08, 0x00e08e38, 0x00000000, 0x00000000, 0x00000000, 0x00002080,
	0x00006180, 0x00003002, 0x00000040, 0x00002042, 0x00180047, 0x00080043,
	0x00000041, 0x000020c1, 0x00046006, 0x00042002, 0x00040000, 0x00002003,
	0x00180006, 0x00080002,
};

static const u8 lpphy_pll_fraction_table[] = {
	0x80, 0x80, 0x80, 0x80, 0x80, 0x80, 0x00, 0x00, 0x80,
	0x80, 0x80, 0x80, 0x80, 0x80, 0x80, 0x80,
};

static const u16 lpphy_iqlo_cal_table[] = {
	0x0200, 0x0300, 0x0400, 0x0600, 0x0800, 0x0b00, 0x1000, 0x1001, 0x1002,
	0x1003, 0x1004, 0x1005, 0x1006, 0x1007, 0x1707, 0x2007, 0x2d07, 0x4007,
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0200, 0x0300, 0x0400, 0x0600,
	0x0800, 0x0b00, 0x1000, 0x1001, 0x1002, 0x1003, 0x1004, 0x1005, 0x1006,
	0x1007, 0x1707, 0x2007, 0x2d07, 0x4007, 0x0000, 0x0000, 0x0000, 0x0000,
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x4000, 0x0000, 0x0000,
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
};

static const u16 lpphy_rev0_ofdm_cck_gain_table[] = {
	0x0001, 0x0001, 0x0001, 0x0001, 0x1001, 0x2001, 0x3001, 0x4001, 0x5001,
	0x6001, 0x7001, 0x7011, 0x7021, 0x2035, 0x2045, 0x2055, 0x2065, 0x2075,
	0x006d, 0x007d, 0x014d, 0x015d, 0x115d, 0x035d, 0x135d, 0x055d, 0x155d,
	0x0d5d, 0x1d5d, 0x2d5d, 0x555d, 0x655d, 0x755d,
};

static const u16 lpphy_rev1_ofdm_cck_gain_table[] = {
	0x5000, 0x6000, 0x7000, 0x0001, 0x1001, 0x2001, 0x3001, 0x4001, 0x5001,
	0x6001, 0x7001, 0x7011, 0x7021, 0x2035, 0x2045, 0x2055, 0x2065, 0x2075,
	0x006d, 0x007d, 0x014d, 0x015d, 0x115d, 0x035d, 0x135d, 0x055d, 0x155d,
	0x0d5d, 0x1d5d, 0x2d5d, 0x555d, 0x655d, 0x755d,
};

static const u16 lpphy_gain_delta_table[] = {
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
};

static const u32 lpphy_tx_power_control_table[] = {
	0x00000050, 0x0000004f, 0x0000004e, 0x0000004d, 0x0000004c, 0x0000004b,
	0x0000004a, 0x00000049, 0x00000048, 0x00000047, 0x00000046, 0x00000045,
	0x00000044, 0x00000043, 0x00000042, 0x00000041, 0x00000040, 0x0000003f,
	0x0000003e, 0x0000003d, 0x0000003c, 0x0000003b, 0x0000003a, 0x00000039,
	0x00000038, 0x00000037, 0x00000036, 0x00000035, 0x00000034, 0x00000033,
	0x00000032, 0x00000031, 0x00000030, 0x0000002f, 0x0000002e, 0x0000002d,
	0x0000002c, 0x0000002b, 0x0000002a, 0x00000029, 0x00000028, 0x00000027,
	0x00000026, 0x00000025, 0x00000024, 0x00000023, 0x00000022, 0x00000021,
	0x00000020, 0x0000001f, 0x0000001e, 0x0000001d, 0x0000001c, 0x0000001b,
	0x0000001a, 0x00000019, 0x00000018, 0x00000017, 0x00000016, 0x00000015,
	0x00000014, 0x00000013, 0x00000012, 0x00000011, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x000075a0, 0x000075a0, 0x000075a1, 0x000075a1, 0x000075a2, 0x000075a2,
	0x000075a3, 0x000075a3, 0x000074b0, 0x000074b0, 0x000074b1, 0x000074b1,
	0x000074b2, 0x000074b2, 0x000074b3, 0x000074b3, 0x00006d20, 0x00006d20,
	0x00006d21, 0x00006d21, 0x00006d22, 0x00006d22, 0x00006d23, 0x00006d23,
	0x00004660, 0x00004660, 0x00004661, 0x00004661, 0x00004662, 0x00004662,
	0x00004663, 0x00004663, 0x00003e60, 0x00003e60, 0x00003e61, 0x00003e61,
	0x00003e62, 0x00003e62, 0x00003e63, 0x00003e63, 0x00003660, 0x00003660,
	0x00003661, 0x00003661, 0x00003662, 0x00003662, 0x00003663, 0x00003663,
	0x00002e60, 0x00002e60, 0x00002e61, 0x00002e61, 0x00002e62, 0x00002e62,
	0x00002e63, 0x00002e63, 0x00002660, 0x00002660, 0x00002661, 0x00002661,
	0x00002662, 0x00002662, 0x00002663, 0x00002663, 0x000025e0, 0x000025e0,
	0x000025e1, 0x000025e1, 0x000025e2, 0x000025e2, 0x000025e3, 0x000025e3,
	0x00001de0, 0x00001de0, 0x00001de1, 0x00001de1, 0x00001de2, 0x00001de2,
	0x00001de3, 0x00001de3, 0x00001d60, 0x00001d60, 0x00001d61, 0x00001d61,
	0x00001d62, 0x00001d62, 0x00001d63, 0x00001d63, 0x00001560, 0x00001560,
	0x00001561, 0x00001561, 0x00001562, 0x00001562, 0x00001563, 0x00001563,
	0x00000d60, 0x00000d60, 0x00000d61, 0x00000d61, 0x00000d62, 0x00000d62,
	0x00000d63, 0x00000d63, 0x00000ce0, 0x00000ce0, 0x00000ce1, 0x00000ce1,
	0x00000ce2, 0x00000ce2, 0x00000ce3, 0x00000ce3, 0x00000e10, 0x00000e10,
	0x00000e11, 0x00000e11, 0x00000e12, 0x00000e12, 0x00000e13, 0x00000e13,
	0x00000bf0, 0x00000bf0, 0x00000bf1, 0x00000bf1, 0x00000bf2, 0x00000bf2,
	0x00000bf3, 0x00000bf3, 0x04200000, 0x04000000, 0x04200000, 0x04000000,
	0x04200000, 0x04000000, 0x04200000, 0x04000000, 0x04200000, 0x04000000,
	0x04200000, 0x04000000, 0x04200000, 0x04000000, 0x04200000, 0x04000000,
	0x04200000, 0x04000000, 0x04200000, 0x04000000, 0x04200000, 0x04000000,
	0x04200000, 0x04000000, 0x04200000, 0x04000000, 0x04200000, 0x04000000,
	0x04200000, 0x04000000, 0x04200000, 0x04000000, 0x04200000, 0x04000000,
	0x04200000, 0x04000000, 0x04200000, 0x04000000, 0x04200000, 0x04000000,
	0x04200000, 0x04000000, 0x04200000, 0x04000000, 0x04200000, 0x04000000,
	0x04200000, 0x04000000, 0x04200000, 0x04000000, 0x04200000, 0x04000000,
	0x04200000, 0x04000000, 0x04200000, 0x04000000, 0x04200000, 0x04000000,
	0x04200000, 0x04000000, 0x04200000, 0x04000000, 0x04200000, 0x04000000,
	0x04200000, 0x04000000, 0x04200000, 0x04000000, 0x04200000, 0x04000000,
	0x04200000, 0x04000000, 0x04200000, 0x04000000, 0x04200000, 0x04000000,
	0x04200000, 0x04000000, 0x04200000, 0x04000000, 0x04200000, 0x04000000,
	0x04200000, 0x04000000, 0x04200000, 0x04000000, 0x04200000, 0x04000000,
	0x04200000, 0x04000000, 0x04200000, 0x04000000, 0x04200000, 0x04000000,
	0x04200000, 0x04000000, 0x04200000, 0x04000000, 0x04200000, 0x04000000,
	0x04200000, 0x04000000, 0x04200000, 0x04000000, 0x04200000, 0x04000000,
	0x04200000, 0x04000000, 0x04200000, 0x04000000, 0x04200000, 0x04000000,
	0x04200000, 0x04000000, 0x04200000, 0x04000000, 0x04200000, 0x04000000,
	0x04200000, 0x04000000, 0x04200000, 0x04000000, 0x04200000, 0x04000000,
	0x04200000, 0x04000000, 0x04200000, 0x04000000, 0x000000ff, 0x000002fc,
	0x0000fa08, 0x00000305, 0x00000206, 0x00000304, 0x0000fb04, 0x0000fcff,
	0x000005fb, 0x0000fd01, 0x00000401, 0x00000006, 0x0000ff03, 0x000007fc,
	0x0000fc08, 0x00000203, 0x0000fffb, 0x00000600, 0x0000fa01, 0x0000fc03,
	0x0000fe06, 0x0000fe00, 0x00000102, 0x000007fd, 0x000004fb, 0x000006ff,
	0x000004fd, 0x0000fdfa, 0x000007fb, 0x0000fdfa, 0x0000fa06, 0x00000500,
	0x0000f902, 0x000007fa, 0x0000fafa, 0x00000500, 0x000007fa, 0x00000700,
	0x00000305, 0x000004ff, 0x00000801, 0x00000503, 0x000005f9, 0x00000404,
	0x0000fb08, 0x000005fd, 0x00000501, 0x00000405, 0x0000fb03, 0x000007fc,
	0x00000403, 0x00000303, 0x00000402, 0x0000faff, 0x0000fe05, 0x000005fd,
	0x0000fe01, 0x000007fa, 0x00000202, 0x00000504, 0x00000102, 0x000008fe,
	0x0000fa04, 0x0000fafc, 0x0000fe08, 0x000000f9, 0x000002fa, 0x000003fe,
	0x00000304, 0x000004f9, 0x00000100, 0x0000fd06, 0x000008fc, 0x00000701,
	0x00000504, 0x0000fdfe, 0x0000fdfc, 0x000003fe, 0x00000704, 0x000002fc,
	0x000004f9, 0x0000fdfd, 0x0000fa07, 0x00000205, 0x000003fd, 0x000005fb,
	0x000004f9, 0x00000804, 0x0000fc06, 0x0000fcf9, 0x00000100, 0x0000fe05,
	0x00000408, 0x0000fb02, 0x00000304, 0x000006fe, 0x000004fa, 0x00000305,
	0x000008fc, 0x00000102, 0x000001fd, 0x000004fc, 0x0000fe03, 0x00000701,
	0x000001fb, 0x000001f9, 0x00000206, 0x000006fd, 0x00000508, 0x00000700,
	0x00000304, 0x000005fe, 0x000005ff, 0x0000fa04, 0x00000303, 0x0000fefb,
	0x000007f9, 0x0000fefc, 0x000004fd, 0x000005fc, 0x0000fffd, 0x0000fc08,
	0x0000fbf9, 0x0000fd07, 0x000008fb, 0x0000fe02, 0x000006fb, 0x00000702,
};

static const u32 lpphy_gain_idx_table[] = {
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x10000001, 0x00000000, 0x20000082, 0x00000000, 0x40000104, 0x00000000,
	0x60004207, 0x00000001, 0x7000838a, 0x00000001, 0xd021050d, 0x00000001,
	0xe041c683, 0x00000001, 0x50828805, 0x00000000, 0x80e34288, 0x00000000,
	0xb144040b, 0x00000000, 0xe1a6058e, 0x00000000, 0x12064711, 0x00000001,
	0xb0a18612, 0x00000010, 0xe1024794, 0x00000010, 0x11630915, 0x00000011,
	0x31c3ca1b, 0x00000011, 0xc1848a9c, 0x00000018, 0xf1e50da0, 0x00000018,
	0x22468e21, 0x00000019, 0x4286d023, 0x00000019, 0xa347d0a4, 0x00000019,
	0xb36811a6, 0x00000019, 0xf3e89227, 0x00000019, 0x0408d329, 0x0000001a,
	0x244953aa, 0x0000001a, 0x346994ab, 0x0000001a, 0x54aa152c, 0x0000001a,
	0x64ca55ad, 0x0000001a, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x10000001, 0x00000000, 0x20000082, 0x00000000,
	0x40000104, 0x00000000, 0x60004207, 0x00000001, 0x7000838a, 0x00000001,
	0xd021050d, 0x00000001, 0xe041c683, 0x00000001, 0x50828805, 0x00000000,
	0x80e34288, 0x00000000, 0xb144040b, 0x00000000, 0xe1a6058e, 0x00000000,
	0x12064711, 0x00000001, 0xb0a18612, 0x00000010, 0xe1024794, 0x00000010,
	0x11630915, 0x00000011, 0x31c3ca1b, 0x00000011, 0xc1848a9c, 0x00000018,
	0xf1e50da0, 0x00000018, 0x22468e21, 0x00000019, 0x4286d023, 0x00000019,
	0xa347d0a4, 0x00000019, 0xb36811a6, 0x00000019, 0xf3e89227, 0x00000019,
	0x0408d329, 0x0000001a, 0x244953aa, 0x0000001a, 0x346994ab, 0x0000001a,
	0x54aa152c, 0x0000001a, 0x64ca55ad, 0x0000001a,
};

static const u16 lpphy_aux_gain_idx_table[] = {
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
	0x0001, 0x0002, 0x0004, 0x0016, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
	0x0000, 0x0000, 0x0000, 0x0000, 0x0001, 0x0002, 0x0004, 0x0016,
};

static const u32 lpphy_gain_value_table[] = {
	0x00000008, 0x0000000e, 0x00000014, 0x0000001a, 0x000000fb, 0x00000004,
	0x00000008, 0x0000000d, 0x00000001, 0x00000004, 0x00000007, 0x0000000a,
	0x0000000d, 0x00000010, 0x00000012, 0x00000015, 0x00000000, 0x00000006,
	0x0000000c, 0x00000000, 0x00000000, 0x00000000, 0x00000012, 0x00000000,
	0x00000000, 0x00000000, 0x00000018, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x0000001e, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000003, 0x00000006, 0x00000009, 0x0000000c, 0x0000000f,
	0x00000012, 0x00000015, 0x00000018, 0x0000001b, 0x0000001e, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000009, 0x000000f1,
	0x00000000, 0x00000000,
};

static const u16 lpphy_gain_table[] = {
	0x0000, 0x0400, 0x0800, 0x0802, 0x0804, 0x0806, 0x0807, 0x0808, 0x080a,
	0x080b, 0x080c, 0x080e, 0x080f, 0x0810, 0x0812, 0x0813, 0x0814, 0x0816,
	0x0817, 0x081a, 0x081b, 0x081f, 0x0820, 0x0824, 0x0830, 0x0834, 0x0837,
	0x083b, 0x083f, 0x0840, 0x0844, 0x0857, 0x085b, 0x085f, 0x08d7, 0x08db,
	0x08df, 0x0957, 0x095b, 0x095f, 0x0b57, 0x0b5b, 0x0b5f, 0x0f5f, 0x135f,
	0x175f, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
};

static const u32 lpphy_a0_gain_idx_table[] = {
	0x001111e0, 0x00652051, 0x00606055, 0x005b005a, 0x00555060, 0x00511065,
	0x004c806b, 0x0047d072, 0x00444078, 0x00400080, 0x003ca087, 0x0039408f,
	0x0035e098, 0x0032e0a1, 0x003030aa, 0x002d80b4, 0x002ae0bf, 0x002880ca,
	0x002640d6, 0x002410e3, 0x002220f0, 0x002020ff, 0x001e510e, 0x001ca11e,
	0x001b012f, 0x00199140, 0x00182153, 0x0016c168, 0x0015817d, 0x00145193,
	0x001321ab, 0x001211c5, 0x001111e0, 0x001021fc, 0x000f321a, 0x000e523a,
	0x000d925c, 0x000cd27f, 0x000c12a5, 0x000b62cd, 0x000ac2f8, 0x000a2325,
	0x00099355, 0x00091387, 0x000883bd, 0x000813f5, 0x0007a432, 0x00073471,
	0x0006c4b5, 0x000664fc, 0x00061547, 0x0005b598, 0x000565ec, 0x00051646,
	0x0004d6a5, 0x0004870a, 0x00044775, 0x000407e6, 0x0003d85e, 0x000398dd,
	0x00036963, 0x000339f2, 0x00030a89, 0x0002db28,
};

static const u16 lpphy_a0_aux_gain_idx_table[] = {
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
	0x0000, 0x0000, 0x0002, 0x0014, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0002, 0x0014,
};

static const u32 lpphy_a0_gain_value_table[] = {
	0x00000008, 0x0000000e, 0x00000014, 0x0000001a, 0x000000fb, 0x00000004,
	0x00000008, 0x0000000d, 0x00000001, 0x00000004, 0x00000007, 0x0000000a,
	0x0000000d, 0x00000010, 0x00000012, 0x00000015, 0x00000000, 0x00000006,
	0x0000000c, 0x00000000, 0x00000000, 0x00000000, 0x00000012, 0x00000000,
	0x00000000, 0x00000000, 0x00000018, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x0000001e, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000000,
	0x00000000, 0x00000003, 0x00000006, 0x00000009, 0x0000000c, 0x0000000f,
	0x00000012, 0x00000015, 0x00000018, 0x0000001b, 0x0000001e, 0x00000000,
	0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x0000000f, 0x000000f7,
	0x00000000, 0x00000000,
};

static const u16 lpphy_a0_gain_table[] = {
	0x0000, 0x0002, 0x0004, 0x0006, 0x0007, 0x0008, 0x000a, 0x000b, 0x000c,
	0x000e, 0x000f, 0x0010, 0x0012, 0x0013, 0x0014, 0x0016, 0x0017, 0x001a,
	0x001b, 0x001f, 0x0020, 0x0024, 0x0030, 0x0034, 0x0037, 0x003b, 0x003f,
	0x0040, 0x0044, 0x0057, 0x005b, 0x005f, 0x00d7, 0x00db, 0x00df, 0x0157,
	0x015b, 0x015f, 0x0357, 0x035b, 0x035f, 0x075f, 0x0b5f, 0x0f5f, 0x0000,
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
	0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
};

static const u16 lpphy_sw_control_table[] = {
	0x0128, 0x0128, 0x0009, 0x0009, 0x0028, 0x0028, 0x0028, 0x0028, 0x0128,
	0x0128, 0x0009, 0x0009, 0x0028, 0x0028, 0x0028, 0x0028, 0x0009, 0x0009,
	0x0009, 0x0009, 0x0009, 0x0009, 0x0009, 0x0009, 0x0018, 0x0018, 0x0018,
	0x0018, 0x0018, 0x0018, 0x0018, 0x0018, 0x0128, 0x0128, 0x0009, 0x0009,
	0x0028, 0x0028, 0x0028, 0x0028, 0x0128, 0x0128, 0x0009, 0x0009, 0x0028,
	0x0028, 0x0028, 0x0028, 0x0009, 0x0009, 0x0009, 0x0009, 0x0009, 0x0009,
	0x0009, 0x0009, 0x0018, 0x0018, 0x0018, 0x0018, 0x0018, 0x0018, 0x0018,
	0x0018,
};

static const u8 lpphy_hf_table[] = {
	0x4b, 0x36, 0x24, 0x18, 0x49, 0x34, 0x23, 0x17, 0x48,
	0x33, 0x23, 0x17, 0x48, 0x33, 0x23, 0x17,
};

static const u32 lpphy_papd_eps_table[] = {
	0x00000000, 0x00013ffc, 0x0001dff3, 0x0001bff0, 0x00023fe9, 0x00021fdf,
	0x00028fdf, 0x00033fd2, 0x00039fcb, 0x00043fc7, 0x0004efc2, 0x00055fb5,
	0x0005cfb0, 0x00063fa8, 0x00068fa3, 0x00071f98, 0x0007ef92, 0x00084f8b,
	0x0008df82, 0x00097f77, 0x0009df69, 0x000a3f62, 0x000adf57, 0x000b6f4c,
	0x000bff41, 0x000c9f39, 0x000cff30, 0x000dbf27, 0x000e4f1e, 0x000edf16,
	0x000f7f13, 0x00102f11, 0x00110f10, 0x0011df11, 0x0012ef15, 0x00143f1c,
	0x00158f27, 0x00172f35, 0x00193f47, 0x001baf5f, 0x001e6f7e, 0x0021cfa4,
	0x0025bfd2, 0x002a2008, 0x002fb047, 0x00360090, 0x003d40e0, 0x0045c135,
	0x004fb189, 0x005ae1d7, 0x0067221d, 0x0075025a, 0x007ff291, 0x007ff2bf,
	0x007ff2e3, 0x007ff2ff, 0x007ff315, 0x007ff329, 0x007ff33f, 0x007ff356,
	0x007ff36e, 0x007ff39c, 0x007ff441, 0x007ff506,
};

static const u32 lpphy_papd_mult_table[] = {
	0x001111e0, 0x00652051, 0x00606055, 0x005b005a, 0x00555060, 0x00511065,
	0x004c806b, 0x0047d072, 0x00444078, 0x00400080, 0x003ca087, 0x0039408f,
	0x0035e098, 0x0032e0a1, 0x003030aa, 0x002d80b4, 0x002ae0bf, 0x002880ca,
	0x002640d6, 0x002410e3, 0x002220f0, 0x002020ff, 0x001e510e, 0x001ca11e,
	0x001b012f, 0x00199140, 0x00182153, 0x0016c168, 0x0015817d, 0x00145193,
	0x001321ab, 0x001211c5, 0x001111e0, 0x001021fc, 0x000f321a, 0x000e523a,
	0x000d925c, 0x000cd27f, 0x000c12a5, 0x000b62cd, 0x000ac2f8, 0x000a2325,
	0x00099355, 0x00091387, 0x000883bd, 0x000813f5, 0x0007a432, 0x00073471,
	0x0006c4b5, 0x000664fc, 0x00061547, 0x0005b598, 0x000565ec, 0x00051646,
	0x0004d6a5, 0x0004870a, 0x00044775, 0x000407e6, 0x0003d85e, 0x000398dd,
	0x00036963, 0x000339f2, 0x00030a89, 0x0002db28,
};

static struct lpphy_tx_gain_table_entry lpphy_rev0_nopa_tx_gain_table[] = {
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 152, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 147, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 143, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 139, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 135, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 131, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 128, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 124, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 121, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 117, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 114, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 111, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 107, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 104, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 101, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 99, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 96, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 93, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 90, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 88, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 85, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 83, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 81, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 78, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 76, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 74, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 72, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 72, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 71, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 69, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 67, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 65, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 58, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 65, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 58, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 71, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 69, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 67, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 65, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 58, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 56, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 72, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 72, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 72, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 72, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 73, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 71, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 69, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 67, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 65, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 72, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 65, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 73, },
	{ .gm = 7, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 71, },
};

static struct lpphy_tx_gain_table_entry lpphy_rev0_2ghz_tx_gain_table[] = {
	{ .gm = 4, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 64, },
	{ .gm = 4, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 62, },
	{ .gm = 4, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 60, },
	{ .gm = 4, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 72, },
	{ .gm = 4, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 70, },
	{ .gm = 4, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 64, },
	{ .gm = 4, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 62, },
	{ .gm = 4, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 60, },
	{ .gm = 4, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 72, },
	{ .gm = 4, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 70, },
	{ .gm = 4, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 64, },
	{ .gm = 4, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 63, },
	{ .gm = 4, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 61, },
	{ .gm = 4, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 57, },
	{ .gm = 4, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 72, },
	{ .gm = 4, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 70, },
	{ .gm = 4, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 64, },
	{ .gm = 4, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 62, },
	{ .gm = 4, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 60, },
	{ .gm = 4, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 72, },
	{ .gm = 4, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 70, },
	{ .gm = 4, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 64, },
	{ .gm = 4, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 62, },
	{ .gm = 4, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 61, },
	{ .gm = 4, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 73, },
	{ .gm = 4, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 71, },
	{ .gm = 4, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 69, },
	{ .gm = 4, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 67, },
	{ .gm = 4, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 65, },
	{ .gm = 4, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 63, },
	{ .gm = 4, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 61, },
	{ .gm = 4, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 72, },
	{ .gm = 4, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 70, },
	{ .gm = 4, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 65, },
	{ .gm = 4, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 63, },
	{ .gm = 4, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 61, },
	{ .gm = 4, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 73, },
	{ .gm = 4, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 71, },
	{ .gm = 4, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 69, },
	{ .gm = 4, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 67, },
	{ .gm = 4, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 65, },
	{ .gm = 4, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 63, },
	{ .gm = 4, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 61, },
	{ .gm = 4, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 60, },
	{ .gm = 4, .pga = 10, .pad = 6, .dac = 0, .bb_mult = 72, },
	{ .gm = 4, .pga = 10, .pad = 6, .dac = 0, .bb_mult = 70, },
	{ .gm = 4, .pga = 10, .pad = 6, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 10, .pad = 6, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 10, .pad = 6, .dac = 0, .bb_mult = 64, },
	{ .gm = 4, .pga = 10, .pad = 6, .dac = 0, .bb_mult = 62, },
	{ .gm = 4, .pga = 10, .pad = 6, .dac = 0, .bb_mult = 60, },
	{ .gm = 4, .pga = 10, .pad = 6, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 10, .pad = 5, .dac = 0, .bb_mult = 72, },
	{ .gm = 4, .pga = 10, .pad = 5, .dac = 0, .bb_mult = 70, },
	{ .gm = 4, .pga = 10, .pad = 5, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 10, .pad = 5, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 10, .pad = 5, .dac = 0, .bb_mult = 64, },
	{ .gm = 4, .pga = 10, .pad = 5, .dac = 0, .bb_mult = 62, },
	{ .gm = 4, .pga = 10, .pad = 5, .dac = 0, .bb_mult = 60, },
	{ .gm = 4, .pga = 10, .pad = 5, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 9, .pad = 5, .dac = 0, .bb_mult = 70, },
	{ .gm = 4, .pga = 9, .pad = 5, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 9, .pad = 5, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 9, .pad = 5, .dac = 0, .bb_mult = 64, },
	{ .gm = 4, .pga = 9, .pad = 5, .dac = 0, .bb_mult = 63, },
	{ .gm = 4, .pga = 9, .pad = 5, .dac = 0, .bb_mult = 61, },
	{ .gm = 4, .pga = 9, .pad = 5, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 9, .pad = 4, .dac = 0, .bb_mult = 71, },
	{ .gm = 4, .pga = 9, .pad = 4, .dac = 0, .bb_mult = 69, },
	{ .gm = 4, .pga = 9, .pad = 4, .dac = 0, .bb_mult = 67, },
	{ .gm = 4, .pga = 9, .pad = 4, .dac = 0, .bb_mult = 65, },
	{ .gm = 4, .pga = 9, .pad = 4, .dac = 0, .bb_mult = 63, },
	{ .gm = 4, .pga = 9, .pad = 4, .dac = 0, .bb_mult = 62, },
	{ .gm = 4, .pga = 9, .pad = 4, .dac = 0, .bb_mult = 60, },
	{ .gm = 4, .pga = 9, .pad = 4, .dac = 0, .bb_mult = 58, },
	{ .gm = 4, .pga = 8, .pad = 4, .dac = 0, .bb_mult = 70, },
	{ .gm = 4, .pga = 8, .pad = 4, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 8, .pad = 4, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 8, .pad = 4, .dac = 0, .bb_mult = 65, },
	{ .gm = 4, .pga = 8, .pad = 4, .dac = 0, .bb_mult = 63, },
	{ .gm = 4, .pga = 8, .pad = 4, .dac = 0, .bb_mult = 61, },
	{ .gm = 4, .pga = 8, .pad = 4, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 7, .pad = 4, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 7, .pad = 4, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 7, .pad = 4, .dac = 0, .bb_mult = 64, },
	{ .gm = 4, .pga = 7, .pad = 4, .dac = 0, .bb_mult = 62, },
	{ .gm = 4, .pga = 7, .pad = 4, .dac = 0, .bb_mult = 61, },
	{ .gm = 4, .pga = 7, .pad = 4, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 7, .pad = 3, .dac = 0, .bb_mult = 67, },
	{ .gm = 4, .pga = 7, .pad = 3, .dac = 0, .bb_mult = 65, },
	{ .gm = 4, .pga = 7, .pad = 3, .dac = 0, .bb_mult = 63, },
	{ .gm = 4, .pga = 7, .pad = 3, .dac = 0, .bb_mult = 62, },
	{ .gm = 4, .pga = 7, .pad = 3, .dac = 0, .bb_mult = 60, },
	{ .gm = 4, .pga = 6, .pad = 3, .dac = 0, .bb_mult = 65, },
	{ .gm = 4, .pga = 6, .pad = 3, .dac = 0, .bb_mult = 63, },
	{ .gm = 4, .pga = 6, .pad = 3, .dac = 0, .bb_mult = 61, },
	{ .gm = 4, .pga = 6, .pad = 3, .dac = 0, .bb_mult = 60, },
	{ .gm = 4, .pga = 6, .pad = 3, .dac = 0, .bb_mult = 58, },
	{ .gm = 4, .pga = 5, .pad = 3, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 5, .pad = 3, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 5, .pad = 3, .dac = 0, .bb_mult = 64, },
	{ .gm = 4, .pga = 5, .pad = 3, .dac = 0, .bb_mult = 62, },
	{ .gm = 4, .pga = 5, .pad = 3, .dac = 0, .bb_mult = 60, },
	{ .gm = 4, .pga = 5, .pad = 3, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 5, .pad = 3, .dac = 0, .bb_mult = 57, },
	{ .gm = 4, .pga = 4, .pad = 2, .dac = 0, .bb_mult = 83, },
	{ .gm = 4, .pga = 4, .pad = 2, .dac = 0, .bb_mult = 81, },
	{ .gm = 4, .pga = 4, .pad = 2, .dac = 0, .bb_mult = 78, },
	{ .gm = 4, .pga = 4, .pad = 2, .dac = 0, .bb_mult = 76, },
	{ .gm = 4, .pga = 4, .pad = 2, .dac = 0, .bb_mult = 74, },
	{ .gm = 4, .pga = 4, .pad = 2, .dac = 0, .bb_mult = 72, },
};

static struct lpphy_tx_gain_table_entry lpphy_rev0_5ghz_tx_gain_table[] = {
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 99, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 96, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 93, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 90, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 88, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 85, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 83, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 81, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 78, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 76, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 74, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 72, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 55, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 72, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 58, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 56, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 55, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 71, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 69, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 67, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 65, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 58, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 56, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 72, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 73, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 71, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 69, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 67, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 65, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 58, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 71, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 69, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 67, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 65, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 58, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 56, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 65, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 58, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 69, },
	{ .gm = 7, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 67, },
	{ .gm = 7, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 65, },
	{ .gm = 7, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 60, },
};

static struct lpphy_tx_gain_table_entry lpphy_rev1_nopa_tx_gain_table[] = {
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 152, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 147, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 143, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 139, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 135, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 131, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 128, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 124, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 121, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 117, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 114, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 111, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 107, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 104, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 101, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 99, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 96, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 93, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 90, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 88, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 85, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 83, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 81, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 78, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 76, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 74, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 72, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 72, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 72, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 71, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 69, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 67, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 65, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 58, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 65, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 58, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 71, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 69, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 67, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 65, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 58, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 56, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 72, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 72, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 72, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 72, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 73, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 71, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 69, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 67, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 65, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 72, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 65, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 73, },
	{ .gm = 7, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 71, },
};

static struct lpphy_tx_gain_table_entry lpphy_rev1_2ghz_tx_gain_table[] = {
	{ .gm = 4, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 90, },
	{ .gm = 4, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 88, },
	{ .gm = 4, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 85, },
	{ .gm = 4, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 83, },
	{ .gm = 4, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 81, },
	{ .gm = 4, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 78, },
	{ .gm = 4, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 76, },
	{ .gm = 4, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 74, },
	{ .gm = 4, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 72, },
	{ .gm = 4, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 70, },
	{ .gm = 4, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 64, },
	{ .gm = 4, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 62, },
	{ .gm = 4, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 60, },
	{ .gm = 4, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 72, },
	{ .gm = 4, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 70, },
	{ .gm = 4, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 64, },
	{ .gm = 4, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 62, },
	{ .gm = 4, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 60, },
	{ .gm = 4, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 72, },
	{ .gm = 4, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 70, },
	{ .gm = 4, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 64, },
	{ .gm = 4, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 62, },
	{ .gm = 4, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 60, },
	{ .gm = 4, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 72, },
	{ .gm = 4, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 70, },
	{ .gm = 4, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 64, },
	{ .gm = 4, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 62, },
	{ .gm = 4, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 60, },
	{ .gm = 4, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 72, },
	{ .gm = 4, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 70, },
	{ .gm = 4, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 64, },
	{ .gm = 4, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 62, },
	{ .gm = 4, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 60, },
	{ .gm = 4, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 72, },
	{ .gm = 4, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 70, },
	{ .gm = 4, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 64, },
	{ .gm = 4, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 62, },
	{ .gm = 4, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 60, },
	{ .gm = 4, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 72, },
	{ .gm = 4, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 70, },
	{ .gm = 4, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 64, },
	{ .gm = 4, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 62, },
	{ .gm = 4, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 60, },
	{ .gm = 4, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 72, },
	{ .gm = 4, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 70, },
	{ .gm = 4, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 64, },
	{ .gm = 4, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 62, },
	{ .gm = 4, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 60, },
	{ .gm = 4, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 72, },
	{ .gm = 4, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 70, },
	{ .gm = 4, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 64, },
	{ .gm = 4, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 63, },
	{ .gm = 4, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 61, },
	{ .gm = 4, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 57, },
	{ .gm = 4, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 72, },
	{ .gm = 4, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 70, },
	{ .gm = 4, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 64, },
	{ .gm = 4, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 62, },
	{ .gm = 4, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 60, },
	{ .gm = 4, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 72, },
	{ .gm = 4, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 70, },
	{ .gm = 4, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 64, },
	{ .gm = 4, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 62, },
	{ .gm = 4, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 61, },
	{ .gm = 4, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 73, },
	{ .gm = 4, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 71, },
	{ .gm = 4, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 69, },
	{ .gm = 4, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 67, },
	{ .gm = 4, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 65, },
	{ .gm = 4, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 63, },
	{ .gm = 4, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 61, },
	{ .gm = 4, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 72, },
	{ .gm = 4, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 70, },
	{ .gm = 4, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 65, },
	{ .gm = 4, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 63, },
	{ .gm = 4, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 61, },
	{ .gm = 4, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 59, },
	{ .gm = 4, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 73, },
	{ .gm = 4, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 71, },
	{ .gm = 4, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 69, },
	{ .gm = 4, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 67, },
	{ .gm = 4, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 65, },
	{ .gm = 4, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 63, },
	{ .gm = 4, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 61, },
	{ .gm = 4, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 60, },
	{ .gm = 4, .pga = 10, .pad = 6, .dac = 0, .bb_mult = 72, },
	{ .gm = 4, .pga = 10, .pad = 6, .dac = 0, .bb_mult = 70, },
	{ .gm = 4, .pga = 10, .pad = 6, .dac = 0, .bb_mult = 68, },
	{ .gm = 4, .pga = 10, .pad = 6, .dac = 0, .bb_mult = 66, },
	{ .gm = 4, .pga = 10, .pad = 6, .dac = 0, .bb_mult = 64, },
	{ .gm = 4, .pga = 10, .pad = 6, .dac = 0, .bb_mult = 62, },
	{ .gm = 4, .pga = 10, .pad = 6, .dac = 0, .bb_mult = 60, },
};

static struct lpphy_tx_gain_table_entry lpphy_rev1_5ghz_tx_gain_table[] = {
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 99, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 96, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 93, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 90, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 88, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 85, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 83, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 81, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 78, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 76, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 74, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 72, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 15, .pad = 15, .dac = 0, .bb_mult = 55, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 72, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 58, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 56, },
	{ .gm = 7, .pga = 15, .pad = 14, .dac = 0, .bb_mult = 55, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 71, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 69, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 67, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 65, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 58, },
	{ .gm = 7, .pga = 15, .pad = 13, .dac = 0, .bb_mult = 56, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 72, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 15, .pad = 12, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 73, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 71, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 69, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 67, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 65, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 15, .pad = 11, .dac = 0, .bb_mult = 58, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 71, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 69, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 67, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 65, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 15, .pad = 10, .dac = 0, .bb_mult = 58, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 15, .pad = 9, .dac = 0, .bb_mult = 56, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 65, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 14, .pad = 9, .dac = 0, .bb_mult = 58, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 13, .pad = 9, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 60, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 13, .pad = 8, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 12, .pad = 8, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 12, .pad = 7, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 70, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 68, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 66, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 61, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 59, },
	{ .gm = 7, .pga = 11, .pad = 7, .dac = 0, .bb_mult = 57, },
	{ .gm = 7, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 69, },
	{ .gm = 7, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 67, },
	{ .gm = 7, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 65, },
	{ .gm = 7, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 63, },
	{ .gm = 7, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 62, },
	{ .gm = 7, .pga = 11, .pad = 6, .dac = 0, .bb_mult = 60, },
};

static struct lpphy_tx_gain_table_entry lpphy_rev2_nopa_tx_gain_table[] = {
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 152, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 147, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 143, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 139, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 135, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 131, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 128, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 124, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 121, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 117, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 114, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 111, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 107, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 104, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 101, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 99, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 96, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 93, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 90, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 88, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 85, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 83, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 81, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 78, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 76, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 74, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 72, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 70, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 68, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 66, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 197, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 192, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 186, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 181, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 176, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 171, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 166, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 161, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 157, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 152, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 148, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 144, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 140, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 136, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 132, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 128, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 124, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 121, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 117, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 114, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 111, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 108, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 105, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 102, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 99, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 96, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 93, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 91, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 88, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 86, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 83, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 81, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 79, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 76, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 74, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 72, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 70, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 68, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 66, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 64, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 248, .pad = 64, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 248, .pad = 62, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 241, .pad = 62, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 241, .pad = 60, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 234, .pad = 60, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 234, .pad = 59, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 227, .pad = 59, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 227, .pad = 57, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 221, .pad = 57, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 221, .pad = 55, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 215, .pad = 55, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 215, .pad = 54, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 208, .pad = 54, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 208, .pad = 52, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 203, .pad = 52, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 203, .pad = 51, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 197, .pad = 51, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 197, .pad = 49, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 191, .pad = 49, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 191, .pad = 48, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 186, .pad = 48, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 186, .pad = 47, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 181, .pad = 47, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 181, .pad = 45, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 175, .pad = 45, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 175, .pad = 44, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 170, .pad = 44, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 170, .pad = 43, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 166, .pad = 43, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 166, .pad = 42, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 161, .pad = 42, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 161, .pad = 40, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 156, .pad = 40, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 156, .pad = 39, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 152, .pad = 39, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 152, .pad = 38, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 148, .pad = 38, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 148, .pad = 37, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 143, .pad = 37, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 143, .pad = 36, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 139, .pad = 36, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 139, .pad = 35, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 135, .pad = 35, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 135, .pad = 34, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 132, .pad = 34, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 132, .pad = 33, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 128, .pad = 33, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 128, .pad = 32, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 124, .pad = 32, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 124, .pad = 31, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 121, .pad = 31, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 121, .pad = 30, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 117, .pad = 30, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 117, .pad = 29, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 114, .pad = 29, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 114, .pad = 29, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 111, .pad = 29, .dac = 0, .bb_mult = 64, },
};

static struct lpphy_tx_gain_table_entry lpphy_rev2_2ghz_tx_gain_table[] = {
	{ .gm = 7, .pga = 99, .pad = 255, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 96, .pad = 255, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 93, .pad = 255, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 90, .pad = 255, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 88, .pad = 255, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 85, .pad = 255, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 83, .pad = 255, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 81, .pad = 255, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 78, .pad = 255, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 76, .pad = 255, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 74, .pad = 255, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 72, .pad = 255, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 70, .pad = 255, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 68, .pad = 255, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 66, .pad = 255, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 64, .pad = 255, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 64, .pad = 255, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 62, .pad = 255, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 62, .pad = 248, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 60, .pad = 248, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 60, .pad = 241, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 59, .pad = 241, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 59, .pad = 234, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 57, .pad = 234, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 57, .pad = 227, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 55, .pad = 227, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 55, .pad = 221, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 54, .pad = 221, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 54, .pad = 215, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 52, .pad = 215, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 52, .pad = 208, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 51, .pad = 208, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 51, .pad = 203, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 49, .pad = 203, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 49, .pad = 197, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 48, .pad = 197, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 48, .pad = 191, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 47, .pad = 191, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 47, .pad = 186, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 45, .pad = 186, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 45, .pad = 181, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 44, .pad = 181, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 44, .pad = 175, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 43, .pad = 175, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 43, .pad = 170, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 42, .pad = 170, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 42, .pad = 166, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 40, .pad = 166, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 40, .pad = 161, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 39, .pad = 161, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 39, .pad = 156, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 38, .pad = 156, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 38, .pad = 152, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 37, .pad = 152, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 37, .pad = 148, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 36, .pad = 148, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 36, .pad = 143, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 35, .pad = 143, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 35, .pad = 139, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 34, .pad = 139, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 34, .pad = 135, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 33, .pad = 135, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 33, .pad = 132, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 32, .pad = 132, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 32, .pad = 128, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 31, .pad = 128, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 31, .pad = 124, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 30, .pad = 124, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 30, .pad = 121, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 29, .pad = 121, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 29, .pad = 117, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 29, .pad = 117, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 29, .pad = 114, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 28, .pad = 114, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 28, .pad = 111, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 27, .pad = 111, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 27, .pad = 108, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 26, .pad = 108, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 26, .pad = 104, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 25, .pad = 104, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 25, .pad = 102, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 25, .pad = 102, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 25, .pad = 99, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 24, .pad = 99, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 24, .pad = 96, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 23, .pad = 96, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 23, .pad = 93, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 23, .pad = 93, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 23, .pad = 90, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 22, .pad = 90, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 22, .pad = 88, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 21, .pad = 88, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 21, .pad = 85, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 21, .pad = 85, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 21, .pad = 83, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 20, .pad = 83, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 20, .pad = 81, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 20, .pad = 81, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 20, .pad = 78, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 19, .pad = 78, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 19, .pad = 76, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 19, .pad = 76, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 19, .pad = 74, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 18, .pad = 74, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 18, .pad = 72, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 18, .pad = 72, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 18, .pad = 70, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 17, .pad = 70, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 17, .pad = 68, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 17, .pad = 68, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 17, .pad = 66, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 16, .pad = 66, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 16, .pad = 64, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 16, .pad = 64, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 16, .pad = 62, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 15, .pad = 62, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 15, .pad = 60, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 15, .pad = 60, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 15, .pad = 59, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 14, .pad = 59, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 14, .pad = 57, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 14, .pad = 57, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 14, .pad = 55, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 14, .pad = 55, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 14, .pad = 54, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 13, .pad = 54, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 13, .pad = 52, .dac = 0, .bb_mult = 64, },
	{ .gm = 7, .pga = 13, .pad = 52, .dac = 0, .bb_mult = 64, },
};

static struct lpphy_tx_gain_table_entry lpphy_rev2_5ghz_tx_gain_table[] = {
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 152, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 147, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 143, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 139, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 135, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 131, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 128, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 124, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 121, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 117, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 114, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 111, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 107, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 104, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 101, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 99, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 96, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 93, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 90, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 88, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 85, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 83, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 81, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 78, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 76, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 74, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 72, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 70, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 68, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 66, },
	{ .gm = 255, .pga = 255, .pad = 255, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 248, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 241, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 234, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 227, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 221, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 215, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 208, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 203, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 197, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 191, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 186, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 181, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 175, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 170, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 166, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 161, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 156, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 152, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 148, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 143, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 139, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 135, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 132, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 128, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 124, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 121, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 117, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 114, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 111, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 108, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 104, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 102, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 99, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 96, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 93, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 90, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 88, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 85, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 83, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 81, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 78, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 76, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 74, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 72, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 70, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 68, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 66, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 64, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 64, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 255, .pad = 62, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 248, .pad = 62, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 248, .pad = 60, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 241, .pad = 60, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 241, .pad = 59, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 234, .pad = 59, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 234, .pad = 57, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 227, .pad = 57, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 227, .pad = 55, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 221, .pad = 55, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 221, .pad = 54, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 215, .pad = 54, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 215, .pad = 52, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 208, .pad = 52, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 208, .pad = 51, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 203, .pad = 51, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 203, .pad = 49, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 197, .pad = 49, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 197, .pad = 48, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 191, .pad = 48, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 191, .pad = 47, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 186, .pad = 47, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 186, .pad = 45, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 181, .pad = 45, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 181, .pad = 44, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 175, .pad = 44, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 175, .pad = 43, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 170, .pad = 43, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 170, .pad = 42, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 166, .pad = 42, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 166, .pad = 40, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 161, .pad = 40, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 161, .pad = 39, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 156, .pad = 39, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 156, .pad = 38, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 152, .pad = 38, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 152, .pad = 37, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 148, .pad = 37, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 148, .pad = 36, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 143, .pad = 36, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 143, .pad = 35, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 139, .pad = 35, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 139, .pad = 34, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 135, .pad = 34, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 135, .pad = 33, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 132, .pad = 33, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 132, .pad = 32, .dac = 0, .bb_mult = 64, },
	{ .gm = 255, .pga = 128, .pad = 32, .dac = 0, .bb_mult = 64, },
};

void lpphy_rev0_1_table_init(struct b43_wldev *dev)
{
	B43_WARN_ON(dev->phy.rev >= 2);

	b43_lptab_write_bulk(dev, B43_LPTAB8(2, 0),
		ARRAY_SIZE(lpphy_min_sig_sq_table), lpphy_min_sig_sq_table);
	b43_lptab_write_bulk(dev, B43_LPTAB16(1, 0),
		ARRAY_SIZE(lpphy_rev01_noise_scale_table), lpphy_rev01_noise_scale_table);
	b43_lptab_write_bulk(dev, B43_LPTAB16(14, 0),
		ARRAY_SIZE(lpphy_crs_gain_nft_table), lpphy_crs_gain_nft_table);
	b43_lptab_write_bulk(dev, B43_LPTAB16(8, 0),
		ARRAY_SIZE(lpphy_rev01_filter_control_table), lpphy_rev01_filter_control_table);
	b43_lptab_write_bulk(dev, B43_LPTAB32(9, 0),
		ARRAY_SIZE(lpphy_rev01_ps_control_table), lpphy_rev01_ps_control_table);
	b43_lptab_write_bulk(dev, B43_LPTAB8(6, 0),
		ARRAY_SIZE(lpphy_pll_fraction_table), lpphy_pll_fraction_table);
	b43_lptab_write_bulk(dev, B43_LPTAB16(0, 0),
		ARRAY_SIZE(lpphy_iqlo_cal_table), lpphy_iqlo_cal_table);
	if (dev->phy.rev == 0) {
		b43_lptab_write_bulk(dev, B43_LPTAB16(13, 0),
			ARRAY_SIZE(lpphy_rev0_ofdm_cck_gain_table), lpphy_rev0_ofdm_cck_gain_table);
		b43_lptab_write_bulk(dev, B43_LPTAB16(12, 0),
			ARRAY_SIZE(lpphy_rev0_ofdm_cck_gain_table), lpphy_rev0_ofdm_cck_gain_table);
	} else {
		b43_lptab_write_bulk(dev, B43_LPTAB16(13, 0),
			ARRAY_SIZE(lpphy_rev1_ofdm_cck_gain_table), lpphy_rev1_ofdm_cck_gain_table);
		b43_lptab_write_bulk(dev, B43_LPTAB16(12, 0),
			ARRAY_SIZE(lpphy_rev1_ofdm_cck_gain_table), lpphy_rev1_ofdm_cck_gain_table);
}
	b43_lptab_write_bulk(dev, B43_LPTAB16(15, 0),
		ARRAY_SIZE(lpphy_gain_delta_table), lpphy_gain_delta_table);
	b43_lptab_write_bulk(dev, B43_LPTAB32(10, 0),
		ARRAY_SIZE(lpphy_tx_power_control_table), lpphy_tx_power_control_table);
}

void lpphy_rev2plus_table_init(struct b43_wldev *dev)
{
	int i;

	B43_WARN_ON(dev->phy.rev < 2);

	for (i = 0; i < 704; i++)
		b43_lptab_write(dev, B43_LPTAB32(7, i), 0);

	b43_lptab_write_bulk(dev, B43_LPTAB8(2, 0),
		ARRAY_SIZE(lpphy_min_sig_sq_table), lpphy_min_sig_sq_table);
	b43_lptab_write_bulk(dev, B43_LPTAB16(1, 0),
		ARRAY_SIZE(lpphy_rev2plus_noise_scale_table), lpphy_rev2plus_noise_scale_table);
	b43_lptab_write_bulk(dev, B43_LPTAB32(11, 0),
		ARRAY_SIZE(lpphy_rev2plus_filter_control_table), lpphy_rev2plus_filter_control_table);
	b43_lptab_write_bulk(dev, B43_LPTAB32(12, 0),
		ARRAY_SIZE(lpphy_rev2plus_ps_control_table), lpphy_rev2plus_ps_control_table);
	b43_lptab_write_bulk(dev, B43_LPTAB32(13, 0),
		ARRAY_SIZE(lpphy_gain_idx_table), lpphy_gain_idx_table);
	b43_lptab_write_bulk(dev, B43_LPTAB16(14, 0),
		ARRAY_SIZE(lpphy_aux_gain_idx_table), lpphy_aux_gain_idx_table);
	b43_lptab_write_bulk(dev, B43_LPTAB16(15, 0),
		ARRAY_SIZE(lpphy_sw_control_table), lpphy_sw_control_table);
	b43_lptab_write_bulk(dev, B43_LPTAB8(16, 0),
		ARRAY_SIZE(lpphy_hf_table), lpphy_hf_table);
	b43_lptab_write_bulk(dev, B43_LPTAB32(17, 0),
		ARRAY_SIZE(lpphy_gain_value_table), lpphy_gain_value_table);
	b43_lptab_write_bulk(dev, B43_LPTAB16(18, 0),
		ARRAY_SIZE(lpphy_gain_table), lpphy_gain_table);
	b43_lptab_write_bulk(dev, B43_LPTAB8(6, 0),
		ARRAY_SIZE(lpphy_pll_fraction_table), lpphy_pll_fraction_table);
	b43_lptab_write_bulk(dev, B43_LPTAB16(0, 0),
		ARRAY_SIZE(lpphy_iqlo_cal_table), lpphy_iqlo_cal_table);
	b43_lptab_write_bulk(dev, B43_LPTAB32(9, 0),
		ARRAY_SIZE(lpphy_papd_eps_table), lpphy_papd_eps_table);
	b43_lptab_write_bulk(dev, B43_LPTAB32(10, 0),
		ARRAY_SIZE(lpphy_papd_mult_table), lpphy_papd_mult_table);

	if ((dev->dev->chip_id == 0x4325) && (dev->dev->chip_rev == 0)) {
		b43_lptab_write_bulk(dev, B43_LPTAB32(13, 0),
			ARRAY_SIZE(lpphy_a0_gain_idx_table), lpphy_a0_gain_idx_table);
		b43_lptab_write_bulk(dev, B43_LPTAB16(14, 0),
			ARRAY_SIZE(lpphy_a0_aux_gain_idx_table), lpphy_a0_aux_gain_idx_table);
		b43_lptab_write_bulk(dev, B43_LPTAB32(17, 0),
			ARRAY_SIZE(lpphy_a0_gain_value_table), lpphy_a0_gain_value_table);
		b43_lptab_write_bulk(dev, B43_LPTAB16(18, 0),
			ARRAY_SIZE(lpphy_a0_gain_table), lpphy_a0_gain_table);
	}
}

static void lpphy_rev0_1_write_gain_table(struct b43_wldev *dev, int offset,
				struct lpphy_tx_gain_table_entry data)
{
	u32 tmp;

	B43_WARN_ON(dev->phy.rev >= 2);

	tmp  = data.pad << 11;
	tmp |= data.pga << 7;
	tmp |= data.gm  << 4;
	tmp |= data.dac;
	b43_lptab_write(dev, B43_LPTAB32(10, 0xC0 + offset), tmp);
	tmp  = data.bb_mult << 20;
	b43_lptab_write(dev, B43_LPTAB32(10, 0x140 + offset), tmp);
}

static void lpphy_rev2plus_write_gain_table(struct b43_wldev *dev, int offset,
				struct lpphy_tx_gain_table_entry data)
{
	u32 tmp;

	B43_WARN_ON(dev->phy.rev < 2);

	tmp  = data.pad << 16;
	tmp |= data.pga << 8;
	tmp |= data.gm;
	if (dev->phy.rev >= 3) {
		if (b43_current_band(dev->wl) == IEEE80211_BAND_5GHZ)
			tmp |= 0x10 << 24;
		else
			tmp |= 0x70 << 24;
	} else {
		if (b43_current_band(dev->wl) == IEEE80211_BAND_5GHZ)
			tmp |= 0x14 << 24;
		else
			tmp |= 0x7F << 24;
	}
	b43_lptab_write(dev, B43_LPTAB32(7, 0xC0 + offset), tmp);
	tmp  = data.bb_mult << 20;
	tmp |= data.dac << 28;
	b43_lptab_write(dev, B43_LPTAB32(7, 0x140 + offset), tmp);
}

void lpphy_write_gain_table(struct b43_wldev *dev, int offset,
			    struct lpphy_tx_gain_table_entry data)
{
	if (dev->phy.rev >= 2)
		lpphy_rev2plus_write_gain_table(dev, offset, data);
	else
		lpphy_rev0_1_write_gain_table(dev, offset, data);
}

void lpphy_write_gain_table_bulk(struct b43_wldev *dev, int offset, int count,
				 struct lpphy_tx_gain_table_entry *table)
{
	int i;

	for (i = offset; i < count; i++)
		lpphy_write_gain_table(dev, i, table[i]);
}

void lpphy_init_tx_gain_table(struct b43_wldev *dev)
{
	struct ssb_sprom *sprom = dev->dev->bus_sprom;

	switch (dev->phy.rev) {
	case 0:
		if ((sprom->boardflags_hi & B43_BFH_NOPA) ||
		    (sprom->boardflags_lo & B43_BFL_HGPA))
			lpphy_write_gain_table_bulk(dev, 0, 128,
					lpphy_rev0_nopa_tx_gain_table);
		else if (b43_current_band(dev->wl) == IEEE80211_BAND_2GHZ)
			lpphy_write_gain_table_bulk(dev, 0, 128,
					lpphy_rev0_2ghz_tx_gain_table);
		else
			lpphy_write_gain_table_bulk(dev, 0, 128,
					lpphy_rev0_5ghz_tx_gain_table);
		break;
	case 1:
		if ((sprom->boardflags_hi & B43_BFH_NOPA) ||
		    (sprom->boardflags_lo & B43_BFL_HGPA))
			lpphy_write_gain_table_bulk(dev, 0, 128,
					lpphy_rev1_nopa_tx_gain_table);
		else if (b43_current_band(dev->wl) == IEEE80211_BAND_2GHZ)
			lpphy_write_gain_table_bulk(dev, 0, 128,
					lpphy_rev1_2ghz_tx_gain_table);
		else
			lpphy_write_gain_table_bulk(dev, 0, 128,
					lpphy_rev1_5ghz_tx_gain_table);
		break;
	default:
		if (sprom->boardflags_hi & B43_BFH_NOPA)
			lpphy_write_gain_table_bulk(dev, 0, 128,
					lpphy_rev2_nopa_tx_gain_table);
		else if (b43_current_band(dev->wl) == IEEE80211_BAND_2GHZ)
			lpphy_write_gain_table_bulk(dev, 0, 128,
					lpphy_rev2_2ghz_tx_gain_table);
		else
			lpphy_write_gain_table_bulk(dev, 0, 128,
					lpphy_rev2_5ghz_tx_gain_table);
	}
}